Electronic Electronic Circuitry & Components Compiled by Chad Mairn
Contents 1
Electro Elec tronic nic cir circui cuitt
1.1 1.2 1.3 1.4 1.5 2
Analog circ Analog circuits uits . . . . Digital Digi tal cir circui cuits ts . . . . Mixed-s Mix ed-signa ignall circ circuits uits Refere Ref erence ncess . . . . . . Exter Ext ernal nal lilinks nks . . . .
1
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
. . . . .
Electro Elec tronic nic com compon ponent ent
1 2 2 3 3 4
2.1 Classifi Classificati cation on . . . . . . . . . . . . . . . . . . 2.2 Ac Activ tivee comp compone onents nts . . . . . . . . . . . . . . . 2.2.1 Semi Semicond conducto uctors rs . . . . . . . . . . . . 2.2.2 Disp Display lay tech technolo nologie giess . . . . . . . . . . 2.2.3 Va Vacuum cuum tube tubess (val (valves ves)) . . . . . . . . . 2.2.4 Disc Dischar harge ge de device vicess . . . . . . . . . . . . 2.2.5 2.2 .5 Po Powe werr sou sourc rces es . . . . . . . . . . . . . 2.3 Pas Passi sive ve comp compone onents nts . . . . . . . . . . . . . . . 2.3.1 2.3 .1 Res Resis istor torss . . . . . . . . . . . . . . . . 2.3.2 2.3 .2 Cap Capac acito itors rs . . . . . . . . . . . . . . . 2.3.3 Magn Magnetic etic (ind (inducti uctive) ve) de device vicess . . . . . . 2.3.4 2.3 .4 Mem Memris ristor tor . . . . . . . . . . . . . . . 2.3. 2. 3.55 Ne Netw twor orks ks . . . . . . . . . . . . . . . . 2.3.6 Tran Transduc sducers, ers, sens sensors, ors, dete detecto ctors rs . . . . . 2.3. 2. 3.77 An Ante tenn nnas as . . . . . . . . . . . . . . . . 2.3.8 Assem Assembli blies, es, modu modules les . . . . . . . . . . 2.3.9 2.3 .9 Pr Protot ototypi yping ng ai aids ds . . . . . . . . . . . . 2.4 Elec Electrom tromech echanic anical al . . . . . . . . . . . . . . . 2.4.1 Piezoel Piezoelectric ectric devic devices, es, crystals, resonators 2.4.2 Ter Termina minals ls and conn connecto ectors rs . . . . . . . 2.4.3 Cabl Cablee asse assembl mblies ies . . . . . . . . . . . . 2.4.4 2.4 .4 Swi Switch tches es . . . . . . . . . . . . . . . . 2.4.5 Prote Protecti ction on dev device icess . . . . . . . . . . . 2.4.6 Mec Mechani hanical cal acc accesso essorie riess . . . . . . . . . 2.4. 2. 4.77 Ot Othher . . . . . . . . . . . . . . . . . . i
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
4 5 5 6 6 6 6 7 7 7 8 8 8 8 9 9 9 9 9 9 10 10 10 10 10
ii
2.4.88 Ob 2.4. Obso sole lete te 2.5 Stan Standard dard sym symbols bols 2.66 Se 2. Seee al also so . . . . . 2.7 Ref Refere erence ncess . . . . 3
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
CONTENTS
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
Resi Re sist stor or
3.1 Electronic Electronic symbol symbolss and notation notation . . . 3.2 Theo Theory ry of operatio operationn . . . . . . . . . 3.2. 3. 2.11 Oh Ohm’ m’ss la law w. . . . . . . . . . 3.2.2 Seri Series es and paral parallel lel res resisto istors rs . 3.2.3 Po Powe werr diss dissipati ipation on . . . . . . 3.3 Noni Nonidea deall pro properti perties es . . . . . . . . . 3.4 Fix Fixed ed res resisto istorr . . . . . . . . . . . . 3.4.1 3.4 .1 Lea Leadd arr arrang angem ement entss . . . . . 3.4.2 Carbo Carbonn comp composi osition tion . . . . . 3.4.3 3.4 .3 Car Carbon bon pi pile le . . . . . . . . . 3.4.4 3.4 .4 Car Carbon bon fil film m . . . . . . . . . 3.4.5 Prin Printed ted carb carbon on res resisto istorr . . . 3.4.6 3.4 .6 Thi Thick ck and thi thinn film . . . . . 3.4. 3. 4.77 Me Meta tall fil film m . . . . . . . . . . 3.4.8 3.4 .8 Met Metal al oxi oxide de film . . . . . . . 3.4.9 3.4 .9 Wir Wiree wo wound und . . . . . . . . . 3.4.10 Foil resistor . . . . . . . . . 3.4.111 Amme 3.4.1 Ammeter ter shu shunts nts . . . . . . . 3.4.12 Grid resisto resistorr . . . . . . . . . 3.4.13 Special varieti varieties es . . . . . . . 3.5 Va Varia riable ble res resisto istors rs . . . . . . . . . . 3.5.1 Ad Adjust justabl ablee res resisto istors rs . . . . . 3.5.2 Pote Potentio ntiomete meters rs . . . . . . . 3.5.3 Resi Resistanc stancee dec decade ade box boxes es . . 3.5.4 Spec Special ial de devic vices es . . . . . . . 3.6 Me Meas asure ureme ment nt . . . . . . . . . . . . 3.7 Sta Standa ndard rdss . . . . . . . . . . . . . . 3.7.1 Prod Producti uction on res resisto istors rs . . . . . 3.7.2 Resi Resistanc stancee stan standard dardss . . . . 3.8 Resi Resistor stor marki marking ng . . . . . . . . . . 3.8.1 Pre Prefe ferred rred val values ues . . . . . . . 3.8.2 3.8 .2 SMT re resi sisto stors rs . . . . . . . . 3.8.3 Indu Industri strial al type des designa ignation tion . 3.9 Elec Electric trical al and ther thermal mal nois noisee . . . . . 3.10 Failure modes . . . . . . . . . . . . 3.11 See also . . . . . . . . . . . . . . .
11 11 11 11 12
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
12 12 12 13 13 14 14 14 14 15 15 15 15 16 16 16 17 17 17 18 18 18 18 18 18 19 19 19 19 19 20 20 21 21 21 22
iii
CONTENTS
3.12 Ref Reference erencess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 3.13 External links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 4
Trans Tr ansis istor tor
24
4.1 Hist 4.1 Histor oryy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2 Imp Import ortanc ancee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3 Simp Simplifi lified ed operati operation on . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.1 Tran Transis sistor tor as a swi switch tch . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.2 Tran Transis sistor tor as an ampl amplifie ifierr . . . . . . . . . . . . . . . . . . . . . . . . . 4.4 Comp Compariso arisonn with vac vacuum uum tube tubess . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1 4.4 .1 Ad Advan vantag tages es . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.2 4.4 .2 Lim Limititati ations ons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.55 Ty 4. Type pess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.5.1 Bipo Bipolar lar junc junction tion trans transisto istorr (BJT) . . . . . . . . . . . . . . . . . . . . . 4.5.2 Fie Field-e ld-effe ffect ct trans transisto istorr (FET) . . . . . . . . . . . . . . . . . . . . . . . 4.5.3 Usage of bipolar and field field-eff -effect ect transistors transistors . . . . . . . . . . . . . . . . 4.5.4 Othe Otherr trans transisto istorr type typess . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.6 Part numbering standards / specifi specifications cations . . . . . . . . . . . . . . . . . . . . . 4.6.1 Japan Japanese ese Indu Industri strial al Stan Standard dard (JIS) . . . . . . . . . . . . . . . . . . . . 4.6.2 European Electroni Electronicc Component Manuf Manufacturers acturers Association (EECA) . . 4.6.3 Joint Electron Device Devicess Engineering Council (JEDEC) . . . . . . . . . . 4.6.4 4.6 .4 Pr Propr oprie ietar taryy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.6.5 4.6 .5 Nam Naming ing pr probl oblem emss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.7 Con Constr struc uctio tionn . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.7.1 Semi Semicond conducto uctorr mate material rial . . . . . . . . . . . . . . . . . . . . . . . . . . 4.7.2 4.7 .2 Pa Pack ckagi aging ng . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.88 Se 4. Seee al also so . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.9 Directory of external external websi websites tes with datashee datasheets ts . . . . . . . . . . . . . . . . . . 4.10 Ref Reference erencess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.11 Further reading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.12 External links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . .. . . . . . . .. . . . . . . . . . . . . . . .. . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . .. . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . .
Capa Ca paci cito torr
5.1 Hist 5.1 Histor oryy . . . . . . . . . . . . . . . . . . . . 5.2 Theo Theory ry of oper operatio ationn . . . . . . . . . . . . . 5.2.1 5.2 .1 Ove Overvi rview ew . . . . . . . . . . . . . . 5.2.2 Hydr Hydraul aulic ic anal analogy ogy . . . . . . . . . . 5.2.3 Ener Energy gy of ele electri ctricc fie field ld . . . . . . . 5.2.4 Curre Current–v nt–volta oltage ge rel relatio ationn . . . . . . 5.2.5 5.2 .5 DC ci circ rcui uits ts . . . . . . . . . . . . . 5.2.6 5.2 .6 AC ci circ rcui uits ts . . . . . . . . . . . . . 5.2.7 Laplace circu circuitit analys analysis is (s-domain) .
24 25 26 26 27 27 27 28 28 28 29 29 29 31 31 31 31 31 31 32 32 32 33 33 33 35 35 36
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
37 37 38 38 39 39 39 40 40
iv
5.2.8 Para Paralle llel-pl l-plate ate mode modell . . . . . . 5.2. 5. 2.99 Ne Netw twor orks ks . . . . . . . . . . . 5.3 Non-i Non-ideal deal beh behavi avior or . . . . . . . . . . 5.3.1 Brea Breakd kdown own vol voltage tage . . . . . . 5.3.2 Equi Equival valent ent cir circui cuitt . . . . . . . 5.3.3 5.3 .3 Q fac actor tor . . . . . . . . . . . . 5.3.4 5.3 .4 Rip Rippl plee cu curre rrent nt . . . . . . . . . 5.3.5 Capa Capacita citance nce ins instabi tability lity . . . . 5.3.6 Curre Current nt and volt voltage age rev reversa ersall . 5.3.7 Die Dielec lectric tric abso absorptio rptionn . . . . . 5.3. 5. 3.88 Le Leak akag agee . . . . . . . . . . . . 5.3.9 Electrol Electrolytic ytic fa failure ilure fro from m disuse 5.4 Cap Capac acito itorr typ types es . . . . . . . . . . . . 5.4.1 Die Dielec lectric tric mate material rialss . . . . . . 5.4.2 5.4 .2 Str Struc uctur turee . . . . . . . . . . . 5.5 Capa Capacit citor or marki markings ngs . . . . . . . . . . 5.5. 5. 5.11 Ex Exam ampl plee . . . . . . . . . . . . 5.6 App Applilica catio tions ns . . . . . . . . . . . . . . 5.6.1 5.6 .1 Ene Energy rgy sto storag ragee . . . . . . . . 5.6.2 Puls Pulsed ed pow power er and wea weapons pons . . 5.6.3 Po Powe werr con conditi ditioning oning . . . . . . 5.6.4 Supp Suppres ressio sionn and cou couplin plingg . . . 5.6.5 5.6 .5 Mot Motor or sta starte rters rs . . . . . . . . . 5.6.6 Sign Signal al proc process essing ing . . . . . . . 5.6. 5. 6.77 Se Sens nsin ingg . . . . . . . . . . . . 5.6.8 5.6 .8 Osc Oscill illato ators rs . . . . . . . . . . . 5.7 Hazar Hazards ds and saf safety ety . . . . . . . . . . . 5.88 Se 5. Seee al also so . . . . . . . . . . . . . . . . 5.9 Ref Refere erence ncess . . . . . . . . . . . . . . . 5.10 Bibliography Bibliography . . . . . . . . . . . . . . 5.11 External links . . . . . . . . . . . . . 6
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
CONTENTS
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Indu In duct ctor or
6.1 Overv Overvie iew w . . . . . . . . . . . . .. 6.1.1 Cons Constituti titutive ve equa equation tion . . . . 6.1. 6. 1.22 Le Lenz’ nz’ss la law w . . . . . . . . . . 6.1.3 Idea Ideall and real ind inducto uctors rs . . . 6.2 App Applilica catio tions ns . . . . . . . . . . . . . 6.3 Indu Inducto ctorr con construc struction tion . . . . . . . . 6.4 Type Typess of indu inductor ctor . . . . . . . . . . 6.4.1 Air cor coree ind inducto uctorr . . . . . . 6.4.2 Fer Ferroma romagneti gneticc cor coree indu inducto ctorr
40 41 42 42 42 43 43 43 44 44 44 44 44 45 46 46 47 47 47 47 47 48 49 49 49 50 50 50 51 51 52 53
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
53 53 54 54 54 55 56 56 57
v
CONTENTS
6.4.3 Va Variab riable le ind inducto uctorr . . . . . . . . . . 6.5 Circ Circuit uit theo theory ry . . . . . . . . . . . . . . . . 6.5.1 6.5 .1 Rea React ctanc ancee . . . . . . . . . . . . . . 6.5.2 Laplace circu circuitit analys analysis is (s-domain) . 6.5.3 Indu Inductor ctor netw networks orks . . . . . . . . . . 6.5.4 6.5 .4 Sto Stored red en ener ergy gy . . . . . . . . . . . . 6.6 Q factor factor . . . . . . . . . . . . . . . . . . . 6.7 Indu Inductan ctance ce fo formul rmulas as . . . . . . . . . . . . . 6.88 Se 6. Seee al also so . . . . . . . . . . . . . . . . . . . 6.99 No 6. Note tess . . . . . . . . . . . . . . . . . . . . 6.10 Ref Reference erencess . . . . . . . . . . . . . . . . . . 6.11 External links . . . . . . . . . . . . . . . . 7
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
. . . . . . . . . . . .
Diode
7.1 Main fu functi nctions ons . . . . . . . . . . . . . 7.22 Hi 7. Hist stor oryy . . . . . . . . . . . . . . . . . 7.2.1 Va Vacuum cuum tube diod diodes es . . . . . 7.2.2 Soli Solid-sta d-state te diod diodes es . . . . . . . 7.2.3 7.2 .3 Ety Etymo molo logy gy . . . . . . . . . . 7.3 The Thermio rmionic nic diod diodes es . . . . . . . . . . . 7.4 Semi Semicon conduc ductor tor diod diodes es . . . . . . . . . 7.4.1 Elec Electroni tronicc sym symbols bols . . . . . . 7.4.2 Poi Point-co nt-contac ntactt diod diodes es . . . . . 7.4.3 7.4 .3 Jun Junct ctio ionn dio diode dess . . . . . . . . 7.4.4 Curre Current–v nt–volta oltage ge cha charact racteris eristic tic 7.4.5 Shoc Shockle kleyy diod diodee equa equation tion . . . 7.4.6 Small Small-si -signal gnal beh behavi avior or . . . . . 7.4.7 Rev Reverse erse-rec -recov overy ery eff effect ect . . . . 7.5 Type Typess of semi semicond conducto uctorr diod diodee . . . . . 7.6 Numb Numberin eringg and and codi coding ng sch scheme emess . . . . 7.6. 7. 6.11 EI EIA/J A/JED EDEC EC . . . . . . . . . . 7.6. 7. 6.22 JI JISS . . . . . . . . . . . . . . . 7.6.3 7.6 .3 Pr Proo Ele Elect ctron ron . . . . . . . . . . 7.7 Rela Related ted de device vicess . . . . . . . . . . . . 7.8 App Applilica catio tions ns . . . . . . . . . . . . . . 7.8.1 Radi Radioo dem demodul odulatio ationn . . . . . . 7.8.2 Po Powe werr con convers version ion . . . . . . . 7.8.3 Over Over-vol -voltage tage prote protecti ction on . . . . 7.8.4 7.8 .4 Log Logic ic ga gates tes . . . . . . . . . . 7.8.5 Ioniz Ionizing ing radi radiatio ationn dete detector ctorss . . 7.8.6 Tem Tempera perature ture meas measurem urements ents . . 7.8.7 7.8 .7 Cur Curre rent nt ste steer ering ing . . . . . . . .
59 60 60 61 61 61 61 62 62 62 63 63 64
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . .
64 64 65 66 66 66 67 67 67 67 68 69 69 70 70 73 73 73 73 73 74 74 74 74 74 74 75 75
vi
7.8.8 Wa Wavef veform orm Clip Clipper per 7.8. 7. 8.99 Cl Clam ampe perr . . . . . . 7.9 Abb Abbrev reviati iations ons . . . . . . . 7.10 See also . . . . . . . . . . 7.11 Ref Reference erencess . . . . . . . . . 7.12 External links . . . . . . . 8
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
. . . . . .
Wire
8.1 8.1 8.22 8. 8.3 8.4 8.5
Histor Hist oryy . . . . . . . . . . . . . . . Usees . . . . . . . . . . . . . . . . Us Produ Pr oduct ctio ionn . . . . . . . . . . . . . Finishing, jack jacketing, eting, and insula insulating ting Forms Fo rms of wir wiree . . . . . . . . . . . 8.5.1 8.5 .1 Sol Solid id wir wiree . . . . . . . . . 8.5.2 8.5 .2 Str Strand anded ed wir wiree . . . . . . . 8.5.3 8.5 .3 Bra Braid ided ed wir wiree . . . . . . . 8.5.4 8.5 .4 Num Number ber of str strand andss . . . . 8.6 Vari arieti eties es . . . . . . . . . . . . . . 8.77 Se 8. Seee al also so . . . . . . . . . . . . . . 8.88 No 8. Note tess . . . . . . . . . . . . . . . 8.9 Ref Refere erence ncess . . . . . . . . . . . . . 8.10 External links . . . . . . . . . . . 9
. . . . . .
CONTENTS
75 75 75 75 75 76 77
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
Printed Pri nted cir circui cuitt boar board d
9.1 Desi 9.1 Design gn . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.2 Manu Manuffactu acturing ring . . . . . . . . . . . . . . . . . . . . . . . 9.2. 9. 2.11 PC PCB B CA CAM M . . . . . . . . . . . . .. . . . . . . 9.2.2 9.2 .2 Pa Pane neliz lizati ation on . . . . . . . . . . . . . . . . . . . . 9.2.3 Copp Copper er patte patterning rning . . . . . . . . . . . . . . . . . 9.2.4 Subtracti Subtractive, ve, additiv additivee and semi-additi semi-additive ve processe processess . 9.2.5 Chem Chemica icall etch etching ing . . . . . . . . . . . . . . . . . 9.2.6 Inner laye layerr automated optical inspec inspection tion (AOI) . . 9.2.7 9.2 .7 Lam Lamina inatio tionn . . . . . . . . . . . . . . . . . . . . 9.2. 9. 2.88 Dri Drillllin ingg . . . . . . . . . . . . . . . . . . . . . . 9.2.9 Plati Plating ng and coati coating ng . . . . . . . . . . . . . . . . 9.2.10 Solder resist applic application ation . . . . . . . . . . . . . . 9.2.111 Lege 9.2.1 Legend nd prin printing ting . . . . . . . . . . . . . . . . . . 9.2.122 Bare9.2.1 Bare-board board test . . . . . . . . . . . . . . . . . . 9.2.133 Assem 9.2.1 Assembly bly . . . . . . . . . . . . . . . . . . . . . 9.2.14 Protectio Protectionn and packa packaging ging . . . . . . . . . . . . . 9.3 PCB cha charact racteris eristic ticss . . . . . . . . . . . . . . . . . . . . 9.3.1 Thro Throughugh-hol holee tech technol nology ogy . . . . . . . . . . . . .
77 78 78 79 79 79 79 80 80 80 81 81 81 81 82
.. . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . .
82 83 83 83 83 84 84 85 85 85 86 86 86 86 87 87 88 88
vii
CONTENTS
9.4 9.5 9.66 9. 9.77 9. 9.8 9.9
9.3.2 Surf Surfaceace-moun mountt tech technol nology ogy . . 9.3.3 Circ Circuit uit prop properti erties es of the PCB PCB . 9.3.4 9.3 .4 Mat Materi erial alss . . . . . . . . . . . Multiwi Mult iwire re board boardss . . . . . . . . . . . Cordwood Cord wood cons construc truction tion . . . . . . . . Hist Hi stor oryy . . . . . . . . . . . . . . . . . Seee al Se also so . . . . . . . . . . . . . . . . Refere Ref erence ncess . . . . . . . . . . . . . . . Exter Ext ernal nal lilinks nks . . . . . . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
10 Electri Electricc current current
95
10.1 Symbol . . . . . . . . . . . . . . . . . . . . . . . 10.2 Conventions . . . . . . . . . . . . . . . . . . . . . 10.2.1 Ref Reference erence directio directionn . . . . . . . . . . . . . 10.3 Ohm’s law . . . . . . . . . . . . . . . . . . . . . . 10.4 AC and DC . . . . . . . . . . . . . . . . . . . . . 10.4.11 Direc 10.4. Directt curre current nt . . . . . . . . . . . . . . . 10.4.2 Alternating current . . . . . . . . . . . . . 10.5 Occurrences . . . . . . . . . . . . . . . . . . . . . 10.6 Current measurement . . . . . . . . . . . . . . . . 10.7 Resistive heating . . . . . . . . . . . . . . . . . . 10.8 Electromagnetism . . . . . . . . . . . . . . . . . . 10.8.1 Electroma Electromagnet gnet . . . . . . . . . . . . . . . . 10.8.22 Radi 10.8. Radioo wave wavess . . . . . . . . . . . . . . . . . 10.9 Conducti Conduction on mechanisms mechanisms in various media media . . . . . . 10.9.11 Meta 10.9. Metals ls . . . . . . . . . . . . . . . . . . . 10.9.2 Electrol Electrolytes ytes . . . . . . . . . . . . . . . . . 10.9.3 Gases and plasmas . . . . . . . . . . . . . 10.9.44 Va 10.9. Vacuum cuum . . . . . . . . . . . . . . . . . . . 10.9.5 Supercond Superconductivity uctivity . . . . . . . . . . . . . . 10.9.6 Semicond Semiconductor uctor . . . . . . . . . . . . . . . 10.10Current density and Ohm’s law . . . . . . . . . . . 10.11Drift speed . . . . . . . . . . . . . . . . . . . . . 10.12See also . . . . . . . . . . . . . . . . . . . . . . . 10.13References . . . . . . . . . . . . . . . . . . . . . . 10.14External links . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . .. . . .. . . . . . . . . . . . . .. . . . . . . . . . .. . . . . . . . .. . . .. . . . .. .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . .. .. . . . . . . . . . . . . . . .. . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . .. . . . . . .. . . . .. . . . . . . .. . . . . .. . . . . . . . . . . . . . . . . .. . . . . .. . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . .
. .. . . . . . . . . .. . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
11 Integrat Integrated ed circuit circuit
11.1 Terminology . . . . . . . . . . 11.2 Invention . . . . . . . . . . . . 11.3 Generations . . . . . . . . . . . 11.3.11 SSI, MSI and LSI . . . 11.3.
88 89 89 90 90 90 92 92 94
95 95 96 96 96 96 96 97 97 97 97 97 98 98 98 98 99 99 99 99 100 100 101 10 1 01 101 102
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
103 103 104 104
viii
CONTENTS
11.3.2 VLS 11.3.2 VLSII . . . . . . . . . . . . . . . . 11.3.3 ULSI, WSI, WSI, SOC SOC and and 3D-IC . . . . 11.4 Adva Advances nces in integrated integrated circuits circuits . . . . . . . 11.5 Computer assisted design . . . . . . . . . . 11.6 Classification . . . . . . . . . . . . . . . . 11.7 Manufacturing . . . . . . . . . . . . . . . 11.7.1 Fabric Fabrication ation . . . . . . . . . . . . . 11.7.22 Pac 11.7. Packag kaging ing . . . . . . . . . . . . . 11.7.3 Chip labeling labeling and manuf manufacture acture date date 11.8 Intellectual property . . . . . . . . . . . . . 11.9 Other deve developmen lopments ts . . . . . . . . . . . . . 11.10Silicon labelling and graffiti . . . . . . . . . 11.11ICs and IC families . . . . . . . . . . . . . 11.12See also . . . . . . . . . . . . . . . . . . . 11.13References . . . . . . . . . . . . . . . . . 11.14Further reading . . . . . . . . . . . . . . . 11.15External links . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
12 Breadboar Breadboard d
104 105 105 10 1 05 105 106 106 106 108 108 10 1 08 108 109 109 109 109 109 110 111 111 113
12.1 Evolution . . . . . . . . . . . . . . . . . . . 12.1.11 Alter 12.1. Alternati natives ves . . . . . . . . . . . . . . 12.2 Solderless breadboard . . . . . . . . . . . . . 12.2.1 Typical specifi specifications cations . . . . . . . . . 12.2.2 Bus and terminal strips . . . . . . . . 12.2.33 Jump wire 12.2. wiress . . . . . . . . . . . . . . 12.2.4 Inside a breadboard: breadboard: construction construction . . . . 12.2.5 Advan Advanced ced solderl solderless ess breadboards breadboards . . . 12.2.6 High frequen frequencies cies and and dead bugs . . . . 12.2.77 Limi 12.2. Limitati tations ons . . . . . . . . . . . . . . 12.3 Gallery . . . . . . . . . . . . . . . . . . . . . 12.4 See also . . . . . . . . . . . . . . . . . . . . 12.5 Ref Reference erencess . . . . . . . . . . . . . . . . . . . 12.6 External links . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .. . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
. . . . . . . . . . . . . .
13 Perfb Perfboard oard
113 113 114 114 114 114 115 115 115 115 115 115 116 116 116 11 1 16 116 11 1 17 117 118
13.1 See also . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 14 Strip Stripboard board
14.1 Variations . . . . 14.2 Hole spacing . . . 14.3 Board dimensions 14.4 Assemblies . . .
120
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
. . . .
120 120 120 120
ix
CONTENTS
14.5 Comparison with other systems . 14.5.11 Wire wrap . . . . . . . . 14.5. 14.5.22 Brea 14.5. Breadboar dboardd . . . . . . . 14.6 Prototype boards . . . . . . . . 14.6.11 TriP 14.6. TriPad ad . . . . . . . . . . 14.6.22 Pe 14.6. Perf+ rf+ . . . . . . . . . . 14.6.33 Othe 14.6. Otherr . . . . . . . . . . 14.7 See also . . . . . . . . . . . . . 14.8 Ref Reference erencess . . . . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .
15 Analogue electr electronics onics
15.1 Analogue signals . . . . . . . 15.2 Inherent noise . . . . . . . . . 15.3 Analogue vs digital digital electronics electronics 15.3.11 Nois 15.3. Noisee . . . . . . . . . 15.3.22 Prec 15.3. Precisi ision on . . . . . . . 15.3.3 Design difficu difficulty lty . . . . 15.4 See also . . . . . . . . . . . . 15.5 Ref Reference erencess . . . . . . . . . .
123
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
. . . . . . . .
16 Digita Digitall electronics electronics
16.1 16.2 16.3 16.4
121 121 121 121 121 121 121 121 122 122 122
Advantages . . . . . . . . . . . . . . . . . . . . Disadvantages . . . . . . . . . . . . . . . . . . . Design issues issues in digital digital circuits circuits . . . . . . . . . . Construction . . . . . . . . . . . . . . . . . . . . Construction 16.4.1 Structure of digital digital system systemss . . . . . . . . 16.4.2 Automated desi design gn tools . . . . . . . . . . 16.4.3 Design for testabili testability ty . . . . . . . . . . . 16.4.44 Trad 16.4. Trade-off e-offss . . . . . . . . . . . . . . . . . 16.4.5 Logic fam families ilies . . . . . . . . . . . . . . . 16.5 Recent deve developmen lopments ts . . . . . . . . . . . . . . . 16.6 See also . . . . . . . . . . . . . . . . . . . . . . 16.7 Ref Reference erencess . . . . . . . . . . . . . . . . . . . . . 16.8 External links . . . . . . . . . . . . . . . . . . . 16.9 Text and image image sources, sources, contributors, and licenses licenses 16.9.11 Te 16.9. Text xt . . . . . . . . . . . . . . . . . . . . 16.9.22 Imag 16.9. Images es . . . . . . . . . . . . . . . . . . 16.9.3 Content licen license se . . . . . . . . . . . . . .
123 123 123 124 124 12 1 24 124 124 125
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
. . . . . . . . . . . . . . . . .
125 126 126 126 12 1 26 127 129 129 130 130 131 131 131 13 1 31 132 133 133 140 14 1 47
Chapter 1
Electronic circuit ponents connected by individual pieces of wire, but today it is much more common to create interconnections by photolithographic techniques on a laminated substrate (a printed (a printed circuit board or board or PCB) and solder the components nents to these these inter interco conne nnect ctio ions ns to crea create te a finish finished ed circ circui uit.t. In an integrated an integrated circuit or circuit or IC, the components and interconnections are formed on the same substrate, typically a semicon semiconduc ductor tor such such as silicon or (less (less commonl commonly) y) gallium arsenide..[2] arsenide Breadboards,, perfboards Breadboards perfboards,, and stripboards and stripboards are are common for testing new designs. They allow the designer to make quick changes to the circuit during development. An electronic circuit can usually be categorized as an analog circuit, circuit, a digital circuit, circuit , or a mixed-signal circuit The die die from froman an Intel Intel 874 8742, 2, an 8-bit 8-bit microcontroller microcontroller that that includes includes (a combination of analog circuits and digital circuits).
a CP CPU U , 128 128 bytes bytes of RAM of RAM , 2048 bytes of EPROM of EPROM , and I/O and I/O “data” “data” on current chip.
1.1
Anal Analog og circ circui uits ts
Main article: Analog article: Analog electronics Analog electronic circuits are circuits are those in which current or
A circuit built on a printed circuit board (PCB).
An electroni composed sed of indi individ vidual ual electronicc circuit circuit is compo electronic elec tronic componen components ts,, such uch as resistors, resistors, transistors, transistors, capacitors,, inductors capacitors inductors and and diodes diodes,, connected by conductive wires tive wires or traces or traces through through which electric which electric current can flow. flow. The combina combination tion of compone components nts and wires wires allows various simple and complex operations to be performed: signals can be amplified, computations can be performed, and data can be moved from one place to another.[1] Circuits can be constructed of discrete com-
A circuit diagram representing diagram representing an analog circuit, in this case a simple amplifier
voltage may vary continuously with time to correspond voltage may to the information information being represented. Analog A nalog circuitry circuitry is construc con structed ted from from two fundame fundamental ntal buildin buildingg blocks blocks:: series and parallel and parallel cir circui cuits. ts. In a series series circuit, circuit, the same current passes through a series of components. A string of 1
2
CHAPTER 1. ELECTRONIC ELECTRONIC CIRCUIT CIRCUIT
Christmas lights is a good example of a series circuit: if 1.2 Dig Digita itall circu circuits its one goes out, they all do. In a parallel circuit, all the components are connected to the same voltage, and the cur- Main article: Digital article: Digital electronics rent divides between the various components according to their resistance. In digital In digital electronic circuits, circuits , electric signals take on discrete values, to represent logical and numeric values. [3] These values represent the information that is being processed. In the vast majority of cases, binary encoding is used: one voltage (typically the more positive value) represents a binary '1' and another voltage (usually a value near the ground ground potential potential,, 0 V) represe represents nts a binary binary '0'. Digital circuits make extensive use of transistors transistors,, interconnected to create logic gates that gates that provide the functions of Boolean of Boolean logic: logic: AND, NAND, OR, NOR, NOR, XOR and all possible combinations combinations thereof. Transistors interconnected so as to provide positive feedback are used as latches and flip flops, circuits that have two or more metastable states, and remain in one of these states until changed by an external input. input. Digital circuits circuits therefore can provide both logic and memory, enabling them to perform perform arbitrary arbitrary computati computational onal function functions. s. (Mem(MemA simple schematic showing wires, a resistor, and a battery ory based on flip-flops on flip-flops is is known as static as static random-access memory (SRAM). Memory based on the storage of charge in a capacitor, dynamic random-access memory (DRAM) is also widely widely used.) The basic components of analog circuits are wires, re- The design process for digital circuits is fundamentally sistors, capacitors, inductors, diodes inductors, diodes,, and transistors and transistors.. (In different from the process for analog circuits. Each logic 2012 it was demonstrated that memristors memristors can can be added gate regenerates the binary signal, so the designer need to the list of available components.) Analog circuits are not account for distortion, gain control, offset voltages, very commonly represented in schematic diagrams, diagrams , in and other other conc concern ernss faced aced in an analo analogg desi design. gn. As a conseconsewhich wires are shown as lines, and each component quence, extremely complex digital circuits, with billions has a unique symbol. symbol. Analog circuit circuit analysis analysis employs employs of logic elements integrated on a single silicon silicon chip, can Kirchhoff Kirc hhoff’s’s cir circui cuitt law lawss: all the curre currents nts at a node node (a plac placee be fabricated fabricated at low cost. Such digital integrated circuits circuits where wires meet), and the voltage around a closed loop are ubiquitous in modern electronic devices, such as calof wires is 0. Wires Wires are usually usually treated as ideal ideal zero- culators, mobile mobile phone handsets, and computers. As digvoltage interconnections; any resistance or reactance is ital circuits become more complex, issues of time delay, captured by explicitly adding a parasitic element, such as logic races, races, power dissipation, non-ideal switching, ona discrete discrete resisto resistorr or inducto inductor. r. Activ Activee compone components nts such such as chip chip and inter-ch inter-chip ip loading, loading, and leakag leakagee curr currents ents,, become become transistors are often treated as controlled current or volt- limitations to the density, speed and performance. age sources: for example, a field-effect a field-effect transistor can transistor can be Digital al circ circui uitry try is used used to crea create te gene general ralpur purpos posee compu computtmodeled as a current source from the source to the drain, Digit ing chips, such as microprocessors as microprocessors,, and custom-designed with the current controlled by the gate-source voltage. logic circuits, known as application-specific integrated When When theci the circ rcui uitt size size is compa comparab rable le to a wave wavele lengthof ngthof the circuit circuit (ASICs). (ASICs). Field-programmable gate arrays (FPrelevant signal frequency, a more sophisticated approach GAs), chips with logic circuitry whose configuration can must be used. Wires Wires are treated as transmissi transmission on lines, lines, be modified after fabrication, are also widely used in prowith (hopefully) constant characteristic impedance, and totyping and development. the impedances at the start and end determine transmitted and reflected waves on the line. Such considerations considerations typically become important for circuit boards at frequenMixed-sign ignal al circu circuits its cies above a GHz; integrated circuits are smaller and can 1.3 Mixed-s be treated as lumped elements for frequencies less than 10 10GHz or so. Main article: mixed-signal article: mixed-signal integrated circuit An alter alternat nativ ivee model model is to take take inde indepe pend ndent ent powe powerr sources and induction and induction as as basic electronic units; this al- Mixed-s Mixed-sign ignal al or hybrid hybrid circui circuits ts con contain tain elemen elements ts of lows modeling frequency dependent negative resistors, resistors, both both analo analogg and digita digitall circ circui uits. ts. Exampl Examples es include include gyrators,, negative impedance converters, gyrators converters , and dependent and dependent comparators comparators,, timers, timers, phase-locked phase-locked loops loops,, analog-tosources as sources as secondary electronic components digital converters, converters, and digital-to-analog and digital-to-analog converters. converters. Most
1.5. EXTERNAL EXTERNAL LINKS
modern radio and communications circuitry uses mixed signal circuits. circuits. For example, example, in a receiver, analog circuitry is used to amplify and frequency-convert signals so that they reach a suitable state to be converted into digital values, after which further signal processing can be performed in the digital domain.
1.4
Ref Referen erence cess
[1] Charles Alexander Alexander and Matthew Matthew Sadiku (2004). “Fundamentals of Electric Circuits”. McGraw-Hill. [2] Richard Jaeger Jaeger (1997). “Microelectronic Circuit Circuit Design”. Design”. McGraw-Hill. [3] John Hayes Hayes (1993). “Introducti “Introduction on to Digital Digital Logic Design”. Addison Wesley.
1.5
Exte Extern rnal al link linkss
• Electronic Circuit Theory
3
Chapter 2
Electronic component ysis use a more restrictive definition of passivity ysis use of passivity.. When only concerned with the energy of signals signals,, it is convenient to ignore the so-called DC so-called DC circuit circuit and pretend that the power supplying components such as transistors or integrated circui circuits ts is is absent (as if each such component had its own battery built in), though it may in reality be supplied supplied by the DC circuit. circuit. Then, the analysis only concerns the AC circuit, an abstraction that ignores DC voltages and currents (and the power associated with them) present in the real-life circuit. This fiction, for instance, lets us view an oscillator as “producing energy” even though in reality the oscillator consumes even more energy from a DC power supply, which we have chosen to ignor ignore. e. Unde Underr that that restr restric ictio tion, n, we defin definee the terms terms as used used in circuit in circuit analysis as: analysis as:
Various electronic components
An electronic component is any basic discrete device or physical entity in an electronic system used to affect electrons or electrons or their their associate associatedd fields fields.. Electroni Electronicc compocomponents are mostly industrial products, available in a singular form and are not to be confused with electrical with electrical elements,, which are conceptual abstractions representing ements idealized electronic components. Electroni Electronicc componen components ts have have two or more electri electrical cal terminals (or terminals (or leads) aside from antennas from antennas which which may only have have one terminal. terminal. These These leads leads connect connect to create create an electronic circuit with circuit with a particular function (for example an amplifier an amplifier,, radio receiver, receiver , or or oscillator). oscillator). Basic Basic elecelectronic components may be packaged discretely, as arrays or networks of like components, or integrated inside of packages such as semiconductor integrated circuits, circuits , hybrid integrated circuits, circuits , or thick or thick film devices. film devices. The following list of electronic components focuses on the discrete crete version version of these these com compone ponents, nts, treating treating such such package packagess as components in their own right.
2.1
rely on a source of energy (usu• Active Active components components rely
ally from the DC circuit, which we have chosen to ignore) and usually can inject power into a circuit, though this is not part of the definition. [1] Active components include amplifying components such as transistors,, triode vacuum transistors triode vacuum tubes (valves), tubes (valves), and tunnel and tunnel diodes.. diodes
can't't introd introduc ucee net net ener energy gy into into • Passive components components can theci the circ rcui uit.t. The Theyy also also can can't't rely rely on a sourc sourcee of powe power, r, except for what is available from the (AC) circuit they are connected connected to. As a consequence they can't amplify (increase the power of a signal), although they may increase a voltage or current (such as is done by a transformer or resonant circuit). circuit). Passive Passive components include two-terminal components such as resistors, capacitors, inductors, and transformers.
carr carryy out out electrical operations by using moving parts or by using electrical connections
• Electromechani Electromechanical cal
Clas Classi sific ficat atio ion n
Components can be classified as passive, active, active , or electromech tromechanic anic.. The strict strict physi physics cs definition definition treats treats passive components as ones that cannot supply energy themselves, whereas a battery a battery would would be seen as an active component since it truly acts as a source of energy. However, electronic However, electronic engineers who engineers who perform circuit perform circuit anal-
components compon ents can can
Most passive components with more than two terminals can can be desc descri ribe bedd in term termss of two-p two-port ort param paramete eters rs that that satsatisfy the principle of reciprocity reciprocity—though —though there are rare [2] exceptions. In contrast, active active components (with more than two terminals) generally generally lack that property. 4
5
2.2. ACTIVE ACTIVE COMPONENTS COMPONENTS
2.2
Acti Active ve compon componen ents ts
2.2.1 2.2.1
Semico Semicondu nducto ctors rs
Diodes
• Bipolar junction transistor (BJT, or simply
“transistor”) – NPN or PNP transistor – Amplified photodetecphotodetec• Photo transistor – tor transistor – NPN or PNP • Darlington transistor – • Photo Darlington – Amplified photodetector • Sziklai pair (Compound transistor, complementary Darlington)
• Field-effect transistor (FET) transistor (FET) JFET (Junction Field-Effect Transistor) – N• JFET (Junction Various types of Light-emitting diode
Conduct electricity easily in one direction, among more specific behaviors.
• Diode Diode,, Rectifier Rectifier,, Bridge rectifier • Schottky diode, diode, hot carrier diode – diode – super fast diode with lower forward voltage drop
diode – Passes current in reverse direction to • Zener diode – provide a constant voltage reference
• Transient voltage suppression diode (TVS), Unipolar or Bipolar – used to absorb high-voltage spikes spikes
• Varactor, Tuning diode, Varicap, Variable capacitance diode – diode – A diode whose AC capacitance varies according according to the DC voltage applied. applied.
diode (LED) – A diode that emits • Light-emitting diode (LED) light
Photodiode – – Passes current in proportion to inci• Photodiode dent light
photodiode Photodiode with inter• Avalanche photodiode Photodiode
nal gain Solar Cel Cell,l, phot photovo ovoltai ltaicc cel cell,l, PV arra arrayy or • Solar panel, produces power from light (Diode • DIAC (Diode
for Alternati Alternating ng Current), Current), Trigger Trigger Diode, SIDAC) – Often used to trigger an SCR
Constant-current nt diode • Constant-curre cooler – A semiconductor heat semiconductor heat pump • Peltier cooler – Transistors
Transistors were considered the invention of the twentieth century that changed electronic electronic circuits circuits forever. forever. A transistor is a semiconductor semiconductor device used to amplify amplify and switch electronic signals and electrical power.
• Transistors
CHANNEL or P-CHANNEL MOSFET (Metal (Metal Oxide Semiconductor FET) • MOSFET – N-CHANNEL or P-CHANNEL MESFET (MEtal (MEtal Semiconductor Semiconductor FET) • MESFET (High electron mobility transistor) transistor ) • HEMT (High
• Thyristors Silicon-controlled trolled • Silicon-con
rectifier (SCR) – Passes rectifier current only after triggered by a sufficient control voltage on its gate TRIAC (TRIode for Alternating Current) – • TRIAC (TRIode Bidirectional SCR transistor (UJT) • Unijunction transistor (UJT) Programmable Unijunction transistor (PUT) transistor (PUT) • Programmable Unijunction • SIT (Static (Static induction transistor) transistor) (Static induction thyristor) thyristor) • SITh (Static
transistors • Composite transistors (Insulated-gate -gate bipolar transistor) transistor ) • IGBT (Insulated Integrated circuits
• Digital • Analog sensor –senses a magnetic field • Hall effect sensor –senses • Current sensor – sensor – Senses a current through it Optoelectronic devices
• Optoelectronics • Opto-Isolator, Opto-Coupler, Photo-Coupler • •
– Photod Photodiod iode, e, BJT, BJT, JFET, JFET, SCR, SCR, TRIAC, TRIAC, Zero-cros Zero-crossin singg TRIAC, Open collecto collectorr IC, CMOS IC, Solid IC, Solid state relay (SSR) relay (SSR) Opto switch, Opto interrupter, Optical switch, switch, Optical interrupter, Photo switch, Photo interrupter LED dis displa playy – Seven-seg Seven-segmen mentt dis displa playy, Sixteen-segment display, display , Dot-matrix displa displayy
6
CHAPTER 2. ELECTRONIC ELECTRONIC COMPONENT COMPONENT
2.2.2 2.2.2
• Traveling-wave tube
Displa Display y techno technolog logie iess
Current:
Optical detectors or detectors or emitters
• Filament lamp (indicator lamp (indicator lamp) • Vacuu Vacuum m fluore fluoresce scent nt dis displa playy (VFD) characters, 7 characters, 7 segment, segment, starburst)
(pref (preformed ormed
tube (CRT) (dot (dot matrix scan, matrix scan, radial • Cathode ray tube (CRT)
scan (e.g. radar (e.g. radar),), arbitrary scan (e.g. oscilloscope (e.g. oscilloscope)) )) (monochrome monochrome & & colour colour))
•
LCD (preformed characters, dot matrix) (passive, LCD (preformed TFT)) (monochrome, colour) TFT
Neon (individual, (individual, 7 segment display) • Neon LED (individual, (individual, 7 segment display, starburst dis• LED play,, dot matrix) play
• Flap indicator (numeric, preprinted messages) display (dot matrix) • Plasma display (dot Obsolete: display lay (aka 'minitron') • Filament lamp 7 segment disp • Nixie Tube Dekatron (aka glow transfer tube) • Dekatron (aka • Magic eye tube indicator tube indicator • Penetron (a Penetron (a 2 colour see-through see-through CRT) 2.2.3 2.2.3
Phototube or or Photodiode – tube equivalent of semi• Phototube conductor photodiode conductor photodiode
Photomultiplier tube – Phototube with internal gain • Photomultiplier tube • Cathode ray ray tube (CRT) tube (CRT) or television or television picture tube • Vacuum fluorescent display (VFD) – Modern nonraster sort of small CRT display
tubee –SmallCRTdisplayusedasatuning • Magiceye tub meter (obsolete)
tube – Produces x-rays • X-ray tube – 2.2.4 2.2.4
Dischar Discharge ge devic devices es
discharge tube • Gas discharge Obsolete:
• Mercury arc rectifier • Voltage regulator tube • Nixie tube • Thyratron • Ignitron
Vacuum acuum tubes tubes (valv (valves) es) 2.2.5 2.2.5
Powe Powerr sources sources
A vacuum tube is based on current conduction conduction through a vacuum (see Vacuum (see Vacuum tube). tube). Sources of electrical power:
• Diode Diode or or rectifier rectifier tube tube Amplifying tubes
• Triode • Tetrode • Pentode • Hexode • Pentagrid • Octode • Microwave tubes • Klystron • Magnetron
Battery – – acid- or alkali-based power supply • Battery cell – an electrochemical generator • Fuel cell – supply – usually a mains hook-up • Power supply – • Photo voltaic device – generates electricity from light
• Thermo electric generator – generates electricity from temperature gradients
generator – an electromechanical power • Electrical generator – source
pressure - creates electricity from me• Piezoelectric pressure chanical strain
generator - Van de Graaff generator • Van de Graaff generator or essentially creating voltage from friction
7
2.3. PASSIVE PASSIVE COMPONENTS COMPONENTS
wire , Nichrome wire – wire of high• Resistance wire, resistance material, often used as a heating element
• Heater – Heater – heating heating element 2.3.2 2.3.2
Capac Capacit itor orss
SMD resistors resistors on a backside of a PCB
2.3
Passi Passive ve compo componen nents ts
2.3.1 2.3.1
Resi Resist stor orss
Pass current in proportion to voltage (Ohm’s ( Ohm’s law) law) and oppose current. Some different capacitors for electronic equipment Resistor – fixed value • Resistor – Power resistor – – larger to safely dissipate heat • Power resistor
•
generated SIP or DIP resi resisto storr netw network ork–– array array of resi resisto stors rs in one package
• Variable resistor Rheostat – – two-terminal variable resistor (of• Rheostat
ten for high power) Potentiometer – three-terminal variable variable resis• Potentiometer – tor (variable voltage divider) • Trimpot – Small Small potenti potentiom omete eter, r, usuall usuallyy for internal adjustments thermallllyy sensi sensititive ve resi resisto storr • Thermistor – therma whose prime function is to exhibit a large, predictable and precise change in electrical resistance when subjected to a corresponding change in body temperature. [3] Humistor – – humidity-varied resistor • Humistor • Photoresistor • Memristor • Varistor, Varistor, Voltage Dependent Resistor, Resistor , MOV – Passes current when excessive voltage is present
Capacitors store and release electrical charge. They are used for filtering power supply lines, tuning resonant circuits, cuits, and for for blocking blocking DC voltage voltagess while while passing passing AC sigsignals, among numerous other uses.
• Capacitor • Integrated capacitors capacitors • MIS capacitor • Trench capacitor • Fixed capacitors • Ceramic capacitor • Film capacitor • Electrolytic capacitor • Aluminum electrolytic capacitor • Tantalum electrolytic capacitor • Niobium electrolytic capacitor • Polymer capacitor, capacitor , OS-CON Supercapacitor (Electric double-layer ca• Supercapacitor (Electric pacitor) • Nanoionic supercapacitor • Lithium-ion capacitor • Mica capacitor • Vacuum capacitor • Variable capacitor – adjustable capacitance
8
CHAPTER 2. ELECTRONIC ELECTRONIC COMPONENT COMPONENT
• Tuning capacitor – variable capacitor for
2.3.5 2.3.5
Netw Networ orks ks
tuning a radio, oscillator, or tuned circuit • Trim capacitor– capacitor– small variable capacitor Components that use more than one type of passive comis usually for slight internal adjustments ponent: made with a small screw driver turned • RC network – forms into the right position. forms an RC an RC circuit, circuit, used in snubbers in snubbers • Vacuum variable capacitor an LC circuit, circuit, used in tunable • LC Network – forms an LC transformers transformers and RFI R FI filters. • Capacitors for special applications
• Power capacitor • Safety capacitor • Filter capacitor • Light-emitting capacitor • Motor capacitor • Photoflash capacitor • Reservoir capacitor
• Capacitor network (array)
Varicap diode diode – AC capacitance varies according to • Varicap the DC voltage applied
2.3.3
Magnetic Magnetic (inductiv (inductive) e) devices devices
Electrical Electrical components that use magnetism magnetism in the storage and release of electrical charge through current: Inductor, coil, choke • Inductor, • Variable inductor • Saturable Inductor Inductor • Transformer amplifier (toroid toroid)) • Magnetic amplifier ( • ferrite impedances, beads • Motor Motor / / Generator Generator • Solenoid Loudspeaker and microphone microphone • Loudspeaker and 2.3.4 2.3.4
Memri Memrist stor or
Electrical components that pass charge in proportion to magnetism or magnetic flux, and have the ability to retain a previo previous us resi resisti stive ve state, state, hence hence the name name of Me Memo mory ry plus plus Resistor.
• Memristor
2.3.6
Transducers, Transducers, sensors, sensors, detectors detectors
1. Transducers generate Transducers generate physical effects when driven by an electrical signal, or vice versa. 2. Sensors Sensors (detectors) (detectors) are transducers that react to environmental conditions by changing their electrical properties or generating an electrical signal. 3. The transducers listed here are single electronic components (as opposed to complete assemblies), and are passive are passive (see (see Semiconductors Semiconductors and Tubes for active ones). active ones). Only the most common ones are listed listed here.
• Audio (see also piezoelectric devices) Loudspeaker – Magnetic or piezoelectric de• Loudspeaker – vice to generate full audio Buzzer – Magnetic or piezoelectric sounder to • Buzzer – generate tones
• Position, motion • Lin Linea earr var varia iabl blee
different differ entia iall tra trans nsfform ormer er (LVDT) – Magnetic – detects linear position encoder oder,, Shaft Shaft Encode Encoderr – Optic Optical, al, • Rotary enc magnetic, resistive or switches – detects absolute or relative relative angle or rotational speed Inclinometer – Capacitive – detects angle with • Inclinometer – respect respect to gravity sensor, Vibration sensor • Motion sensor, meter – detects flow in liquid or gas • Flow meter –
• Force, torque gauge – Piezoelectric or resistive – de• Strain gauge – tects squeezing, stretching, twisting Accelerometer – – Piezoelectric – detects accel• Accelerometer eration, gravity
• Thermal • Thermocouple, Thermocouple, thermopile – Wires Wirestha thatt gene generr-
ate a voltage proportional proportional to delta temperature • Thermistor – Resi Resist stor or whos whosee resi resist stan ance ce changes with temperature, up PTC PTC or or down NTC
9
2.4. ELECTROMECHANIC ELECTROMECHANICAL AL
• Resistance Temperature Detector (RTD) – 2.4 Electr Electrom omec echan hanic ical al Wire whose resistance changes with temperature Bolometer – Device for measuring the power • Bolometer – of incident electromagnetic incident electromagnetic radiation • Thermal cutoff – Switch that is opened or closed when a set temperature is exceeded
• Magnetic field (see also Hall Effect in semiconductors)
Magnetometer, Gauss meter • Magnetometer,
• Humidity • Hygrometer • Electromagnetic, light Photo res resisto istorr – • Photo (LDR)
2.3.7 2.3.7
2 crystalline type oscillators
2.4.1 2.4.1
Light Light depend dependent ent resisto resistorr
Ante Antenn nnas as
Passive components that components that use piezoelectric use piezoelectric effect: effect:
• Components that use the effect to generate or filter high frequencies
Antennas transmit Antennas transmit or receive radio waves
• Elemental dipole • Yagi • Phased array • Loop antenna • Parabolic dish • Log-periodic dipole dipole array • Biconical • Feedhorn 2.3.8 2.3.8
Crystal – – a ceramic crystal used to generate • Crystal precise frequencies (See the Modules class below for complete oscillators)
resonator – Is a ceramic crystal used • Ceramic resonator – to generate semi-precise frequencies
• Ceramic filter – Is a ceramic crystal used to
filter a band of frequencies such as in radio receivers
• surface acoustic wave (SAW) wave (SAW) filters Compo mpone nents nts • Co transducers.. transducers
piezoelectric effects piezoelectric effects
• For piezo buzzers and microphones, see the
Multiple electronic components assembled in a device that is in itself used as a component component
2.3.9 2.3.9
Protot Prototypi yping ng aids aids
• Wire-wrap • Breadboard
that that use the effec effectt as mec mechan hanic ical al
Ultrasonicc motor motor–Electricmotorthatusesthe –Electricmotorthatusesthe • Ultrasoni
Assemb Assemblie lies, s, module moduless
• Oscillator • Display devices display (LCD) • Liquid crystal display (LCD) • Digital voltmeters • Filter
Piezoe Piezoelec lectri tricc devices devices,, crysta crystals, ls, resresonators
Transducer class below
2.4.2 2.4.2
Termin Terminals als and connec connector torss
Devices to make electrical connection
• Terminal • Connector • Socket • Screw terminal, Terminal Blocks • Pin header
10
CHAPTER 2. ELECTRONIC ELECTRONIC COMPONENT COMPONENT
2.4.3 2.4.3
Cable Cable assemb assemblie liess
Cables with Cables with connectors or terminals at their ends
• Power cord • Patch cord • Test lead
Relay – Electrically operated switch (mechanical, • Relay – also see Solid see Solid State Relay below) Relay below)
• Reed switch – switch – Magnetically activated switch • Thermostat – Thermostat – Thermally activated switch • Humidistat Humidistat – – Humidity activated switch breaker – Switch opened in response to ex• Circuit breaker – cessive current: a resettable fuse
2.4.5 2.4.5
Protec Protectio tion n devic devices es
Passive components that components that protect circuits from excessive currents or voltages: Fuse – – over-current over-current protection, one time use • Fuse Circui uitt brea breakker – rese resett ttab able le fuse use in the the form orm of a meme• Circ chanical switch
fuse or PolySwitch PolySwitch – circuit circuit breaker breaker ac• Resettable fuse or tion using solid state device
2 different tactile switches
• Ground-fault protection or protection or residual-current residual-current device – device –
2.4.4 2.4.4
varistor (MOV), surge absorber absorber , TVS TVS – – • Metal oxide varistor
Switc Switche hess
circuit breaker sensitive to mains currents passing to ground
Over-voltage protection.
Components that can pass current (“closed”) or break the flow of current (“open”):
• Inrush current limiter – protection against initial
Switch – Manually operated switch. • Switch – Electrical description: description: SPST, SPDT, DPST, • Electrical
• Gas discharge tube – protection against high voltage
DPDT, NPNT (general) • Technology: Technology: slide switches, switches, toggle switches, rocker switches, rotary switches, pushbutton switches
Keypad – – Array of pushbutton switches • Keypad switch – Small array of switches for internal • DIP switch – configuration configuration settings
Footswitch – Foot-operated switch switch • Footswitch – switch – Switch with unenclosed conductors • Knife switch – switch – Mechanically activated switch with • Micro switch – snap action
• Limit switch – Mechanically activated switch to sense limit of motion
• Mercury switch – switch – Switch sensing tilt Centrifugal gal switch – Switch Switch sensing sensing cen centrif trifuga ugall force force • Centrifu due to rate of rotation
Inrush current
surges
gap – electrodes with a gap to arc over at a • Spark gap – high voltage
• Lightning Lightning arrester –sparkgapusedtoprotectagainst lightning strikes
2.4.6 2.4.6
Mechan Mechanic ical al accesso accessorie riess
• Enclosure (electrical) • Heat sink • Fan 2.4. 2.4.7 7
Othe Otherr
• Printed circuit boards • Lamp • Waveguide • Memristor
2.7. REFERE REFERENCES NCES
2.4.8 2.4.8
•
Obsol Obsolet etee
Carbon amplifier amplifier (see Carbon (see Carbon microphones used as amplifiers)) amplifiers
• Carbon arc (negative resistance device) generator) • Dynamo (historic rf generator) • Coherer 2.5
Stand Standard ard symb symbol olss
Main article: Electronic article: Electronic symbol On a circuit a circuit diagram, diagram, electronic electronic devices are represented represented by conventional conventional symbols. Reference Reference designators designators are applied to the symbols to identify the component.
2.6 2.6
See also also
• Circuit design • Circuit diagram • Counterfeit electronic components • Electrical element • Electronic mixer components’ Datasheets • Electronic components’ Datasheets • IEEE 315-1975 2.7
Ref Referen erence cess
[1] For insta instance nce,, a com comput puter er cou could ld be con contai tained ned insi inside de a black black box with two external terminals. It might do various calculation culationss and signal signal its results results by varying varying its resistan resistance, ce, but always consuming power as a resistance does. Nevertheless, it’s an active component, since it relies on a power source to operate. [2] Nonreciprocal passive passive devices devices include the gyrator the gyrator (though (though as a truly passive component, this exists more in theoretical terms, and is usually implemented using an active circuit)—and circuit)—and the circulator the circulator,, which is used at microwave and optical frequencies [3] What is a Thermistor. Thermistor. U.S. Sensor Corp.
11
Chapter 3
Resistor 3.1
Elec Electr tron onic ic symbo symbols ls and notanotation
Main article: Electronic article: Electronic symbol Two typical schematic typical schematic diagram symbols diagram symbols are as follows;
• (a) resistor, (b) rheostat (variable resistor), and (c) potentiometer
Axial -lead -lead resistors on tape. The component is cut from the tape during assembly and the part is inserted into the board.
A resistor is a passiv passivee two-terminal elec electrical trical componen componentt that implements electrical implements electrical resistance as resistance as a circuit element. Resis Resistor torss act act to reducecurr reducecurrent entflo flow, w, and, and, at thesam the samee time, time, act to lower voltage levels levels within circuits. In electronic electronic circuits resistors are used to limit current flow, to adjust signal levels, bias levels, bias active active elements, terminate transmission terminate transmission lines among among other other uses. High-po High-power wer resisto resistors rs that can dissipate many watts many watts of of electrical power as heat may be used as part of motor controls, in power distribution systems, or as test loads for generators for generators.. Fixed resistors resistors have resistances that only change slightly with temperature, time or operating voltage. Variable resistors can be used to adjust circuit elements (such as a volume control or a lamp dimmer), or as sensing devices for heat, light, humidity, force, or chemical activity. Resistors are common elements of electrical networks and electronic and electronic circuits and are ubiquitous in electronic equipment.. Practic equipment Practical al resistors resistors as discrete discrete compone components nts can be composed of various compounds and forms. forms. Resistors are also implemented within integrated within integrated circuits. circuits. The electrical function of a resistor is specified by its resistance: common commercial resistors are manufactured over a range of more than nine orders of magnitude.. The nominal value of the resistance will fall within tude a manufacturing tolerance. tolerance.
• IEC IEC resistor resistor symbol The notation to state a resistor’s value in a circuit diagram varies, too. The European notation notation BS 1852 avoids 1852 avoids using a decimal separator, separator , and replaces the decimal separator with the SI prefix symbol for the particular value. For example, 8k2 in a circuit circuit diagram indicates a resistor value of 8.2 kΩ. Additional zeros imply tighter tolerance, for example 15M0. When the value can be expressed without the need for an SI prefix, an 'R' is used instead of the decimal separator. For example, example, 1R2 indicates 1.2 Ω, and 18R indicates 18 Ω. The use of a SI prefix symbol or the letter 'R' circumvents the problem that decimal separators tend to 'disappear' when photocopying photocopying a a printed circuit diagram.
3.2
Theory Theory of operat operatio ion n
3.2. 3.2.1 1
Ohm’ Ohm’ss law law
Main article: Ohm’s article: Ohm’s law The behavior of an ideal resistor is dictated by the relationship specified by Ohm’s by Ohm’s law: law: V = I R.
·
Ohm’s law states that the voltage (V) across a resistor is proportional to the current (I), where the constant of proportionality is the resistance (R). For example, if a 300 ohm resistor ohm resistor is attached across the terminals of a 12 volt 12
13
3.2. THEOR THEORY OF OPERATION OPERATION
Higher pressure Lower pressure pressure
R1 R2
Hair in pipe
Small R
Same flow
Rn
Large R 1 Req
=
1 R1
+
The hydraulic The hydraulic analogy compares compares electric electric current current flowing flowing through through circuits circuits to water flowing through through pipes. When a pipe (left) (left) is filled with hair (right), it takes a larger pressure to achieve the same flow of water. Pushing electric current through a large resistance is like pushing water through a pipe clogged with hair: It requires a larger push (voltage (voltage drop) drop) to drive the same flow [1] (electric current ). ).
1 R2
+
··· +
1 Rn
.
So, for example, a 10 ohm resistor connected in parallel with a 5 ohm resistor and a 15 ohm resistor will produce the inverse of 1/10+1/5+1/15 ohms of resistance, or 1/(.1+.2+.067)=2.725 ohms. A resistor network that is a combination of parallel and series connections can be broken up into smaller parts that are either one or the t he other. Some complex networks networks battery, then a current of 12 / 300 = 0.04 amperes 0.04 amperes flows flows of resistors cannot be resolved in this manner, requiring more sophisticated sophisticated circuit circuit analysis. Generally, Generally, the Y-Δ through that resistor. transform,, or matrix or matrix methods can methods can be used to solve such Practi Practica call resi resisto stors rs also also have have some some inductance and transform [2][3][4] problems. capacitance which will also affect the relation between voltage and current in alternating in alternating current circuits. current circuits. 3.2.3 Powe Powerr dissipa dissipatio tion n The ohm The ohm (symbol: (symbol: Ω) is the SI the SI unit unit of electrical of electrical resis- 3.2.3 tance,, named after Georg tance after Georg Simon Ohm. Ohm . An ohm is equivequiv (watts) consumed by alent to a volt a volt per per ampere ampere.. Since resistors resistors are specified specified At any instant of time, the power P (watts) and manufactured over a very large range of values, the a resistor of resistance R (ohms) is calculated as: P = (volts) is the voltage across derived units of milliohm (1 mΩ = 10 −3 Ω), kilohm (1 I 2 R = I V = V R where V (volts) 3 6 the current flowing flowing through kΩ = 10 Ω), and megohm (1 MΩ = 10 Ω) are also in the resistor and I (amps) is the current it. Using Usi ng Ohm’s Ohm’s law law, , the two other forms can be decommon usage. rived. This power is converted into heat which must be dissipate dissipatedd by the resisto resistor’s r’s packag packagee before before its temperatur temperaturee rises excessively. excessively. 3.2.2 3.2.2 Series Series and paralle parallell resi resisto stors rs Resistors are rated according to their maximum power dissipation. dissipation. Most discrete resistors in in solid-state elecelecMain article: Series article: Series and parallel circuits tronic systems absorb much less than a watt of electrical power and require no attention to t o their power rating. The total resistance of resistors connected in series is the Such resistors in their discrete form, including most of sum of their individual resistance values. the packages detailed below, are typically rated as 1/10, 1/8, or 1/4 watt. 2
R1 Req = R 1 + R2 +
R2
· · · + R
Rn
n.
An aluminium-housed power resistor rated for 50 W when heatsinked
The total resistance of resistors connected in parallel is the reciprocal of the sum of the reciprocals of the indi- Resistors required to dissipate substantial amounts of vidual resistors. power, particularly used in power supplies, power con-
14
CHAPTER 3. RESISTOR
version circuits, and power amplifiers, are generally referred to as power resistors ; this designation is loosely applied to resistors with power ratings of 1 watt or greater. Power resistors are physically larger and may not use the preferred preferred values, color codes, and external packages packages described below. If the average power dissipated by a resistor is more than its power rating, damage to the resistor may occur, permanently altering its resistance; this is distinct from the reversible change in resistance due to its temperature coefficient when efficient when it warms. Excessive power dissipation may raisethetemperatureoftheresistortoapointwhereitcan burn the circuit board or adjacent components, or even cause a fire. There are flameproof resistors that fail (open circuit) before they overheat dangerously. Since poor air circulation, high altitude, or high operating temperatures may temperatures may occur, resistors may be specified with higher rated dissipation than will be experienced in service. All resistors have a maximum voltage rating; this may limit the power dissipation for higher resistance values.
3.3
3.4
Fixe Fi xed d resi resist stor or
A single in line (SIL) resistor package with 8 individual, 47 ohm resistors. One end of each resistor is connected to a separate pin and the other ends are all connected together to the remaining (common) pin – pin 1, at the end identified by the white dot.
3.4.1 3.4.1
Lead Lead arrange arrangemen ments ts
Nonid Nonideal eal proper propertie tiess
Practical resistors have a series inductance inductance and and a small parallel capacitance capacitance;; these these specific specificatio ations ns can be important important in high-frequency applications. In a low-noise amplifier or pre-amp or pre-amp,, the noise the noise characteristics characteristics of a resistor may be an issue. The temperature The temperature coefficient of coefficient of the resistance may also be of concern in some precision applications. The unwanted inductance, excess noise, and temperature coefficient are mainly dependent on the technology used used in manuf manufac actur turing ing the resi resisto stor. r. They They are not norma normallllyy specified specified individually individually for a particular family family of resistors resistors manufactured using a particular technology. [5] A family of discrete resistors is also characterized according according to its form factor, that is, the size of the device and the position of its leads leads (or termin terminals als)) whic whichh is rele relevan vantt in the practi practica call manufacturing of circuits using them. Practi Practica call resi resisto stors rs areals are alsoo speci specifie fiedd as havin havingg a maxim maximum um power rating power rating which must exceed the anticipated power dissipation of that resistor in a particular circuit: this is mainly of concern in power electronics applications. Resistors with higher power ratings are physically larger and may require require hea heatt sink sinkss. In a high-vo high-voltag ltagee circui circuit,t, attentio attentionn must sometimes be paid to the rated maximum working voltage of the resistor. While there is no minimum working voltage for a given resistor, failure to account for a resistor’s maximum rating may cause the resistor to incinerate when current is run through it.
Resistors with wire leads for through-hole mounting
Through-hole components typically have “leads” (pronounced to rhyme with “reeds”) leaving the body “axially,” that is, on a line parallel with the part’s longest axis. Others have leads coming off their body “radially” instead. Other components components may may be SMT be SMT (surface (surface mount technology), while high power resistors may have one of their leads designed into the heat sink. sink. 3.4.2 3.4.2
Carbon Carbon compos compositi ition on
Carbon composition resistors consist of a solid cylindrical resistive resistive element with embedded wire leads or metal end caps to which the lead wires wires are attached. The body of the resistor resistor is protected protected with paint paint or plastic. plastic. Early Early 20th-century carbon composition resistors had uninsulated lated bodie bodies; s; thele the lead ad wires wires were were wrappe wrappedd aro around undtheends theends of the resista resistance nce element element rod and soldered. soldered. The completed resistor was painted for color-coding of its value. The resistive element is made from a mixture of finely ground (powdered) carbon and an insulating material (usually (usually ceramic) ceramic).. A resin resin holds the mixture together. together.
15
3.4. FIXED FIXED RESIS RESISTOR TOR
Carbon film resistor with exposed carbon spiral (Tesla TR-212 1 kΩ) Three carbon composition resistors in a 1960s valve valve (vacuum (vacuum tube) radio
3.4.4 3.4.4
Carb Carbon on film
A carbon film is deposited on an insulating substrate, and a helix a helix is is cut in it to create a long, narrow resistive tive path. Varying Varying shapes, shapes, coupled coupled with the resistivity of amorphous of amorphous carbon carbon (ranging from 500 to 800 μΩ m), can provide a wide range of resistance values. values. Compared to carbon composition they feature low noise, because of the precise distribution of the pure graphite without binding.[10] Carbon film resistors feature a power rating range of 0.125 W to 5 W at 70 °C. Resistances Resistances available available range from 1 ohm to 10 megohm. The carbon film resistor has an operating an operating temperature range temperature range of −55 °C to 155 °C. It has 200 to 600 volts maximum working voltage range. Special carbon film resistors are used in applications requiring high pulse stability. [7]
The resistance is determined by the ratio of the fill material terial (the powder powdered ed ceramic) ceramic) to the carbon. carbon. Higher Higher concentrations of carbon— a good conductor— result in lower resistance. resistance. Carbon composition composition resistors were commonly used in the 1960s and earlier, but are not so popular for general use now as other types have better specifications, such as tolerance, voltage dependence, and stress stress (carbon (carbon composi composition tion resisto resistors rs willchan will change ge value value when stressed with over-voltages). over-voltages). Moreover, Moreover, if internal moisture content (from exposure for some length of time to a humid environment) is significant, soldering heat will create a non-reversible change in resistance value. Carbon composition resistors have poor stability with time and were consequently factory sorted to, at best, only 5% 3.4.5 3.4.5 Printe Printed d carbon carbon resist resistor or tolerance. [6] These resistors, however, if never subjected to overvoltage to overvoltage nor nor overheating were remarkably reliable considering the component’s size. [7] Carbon composition resistors are still available, but comparatively paratively quite costly. Values Values ranged from fractions fractions of an ohm to 22 megohms. Due to their high price, these resistors are no longer used in most applications. However, they are used in power supplies and welding controls. [7]
3.4.3 3.4.3
Carb Carbon on pile pile
A carbon pile resistor is made of a stack of carbon disks compressed between two metal contact plates. Adjusting the clamping pressure changes the resistance between the plates. These resistors are used when an adjustable load is required, for example in testing automotive batteries or radio radio trans transmit mitter ters. s. A carbo carbonn pile pile resi resisto storr can also also be used used as a speed control for small motors in household appliances (sewing machines, hand-held mixers) with ratings up to a few hundred watts. [8] A carbon pile resistor can be incorporated in automatic voltage automatic voltage regulators for regulators for generators, where the carbon pile controls the field current to maintain relatively constant voltage. [9] The principle is also applied in the carbon the carbon microphone. microphone .
A carbon resistor printed directly onto the SMD pads on a PCB. Inside a 1989 vintage Psion II Organiser Organiser
Carbon Carbon compo composi sitio tionn resi resisto stors rs can be printe printedd direc directltlyy onto onto printed circuit circuit board (PCB) substrates substrates as part of the t he PCB manufacturing manufacturing process. Although this technique is more common on hybrid PCB modules, it can also be used on standard fibreglass PCBs. Tolerances Tolerances are typically typically quite large, and can be in the order of 30%. A typical applicaapplication would be non-critical pull-up non-critical pull-up resistors. resistors . 3.4.6 3.4.6
Thic Thick k and and thin thin film
Thick film resistors became popular during the 1970s, and most SMD most SMD (surface (surface mount device) resistors today are
16
CHAPTER 3. RESISTOR
850 °C. Thick film resistors, when first manufactured, manufactured, had tolerances of 5%, but standard tolerances have improved to 2% or 1% in the last few few decades. decades. Tempera Temperature ture coefcoefficients ficients of thick film resistors are high, typically ±200 or ±250 ±250 ppm/ ppm/K; K; a 40 kelvin 40 kelvin (70 °F) tempe temperat rature ure chang changee can change the resistance by 1%. Thin film resistors are usually far more expensive than thick film resistors. resistors. For example, example, SMD thin film resistors, with 0.5% tolerances, and with 25 ppm/K temperaLaser Trimmed Precision Thin Film Resistor Network from ture coefficients, when bought in full size reel quantities, Fluke, Fluke, used in the Keithle Keithleyy DMM7510 DMM7510 multimeter. multimeter. Ceramic Ceramic are about twice the cost of 1%, 250 ppm/K thick film backed with glass hermetic seal cover. resistors. of this type. The resistive resistive element element of thick films is 1000 times thicker thicker than thin films, [11] but the principal principal difference is how the film is applied to the cylinder (axial resistors) or the surface surface (SMD resistors). resistors). Thin film resistors are made by sputtering sputtering (a (a method of vacuum deposition) deposition) the resistive material onto an insulating substrate. The film is then etched in a similar manner to the old (subtractive) process for making printed circuit boards; that is, the surface is coated with a photosensitive material, material, then covered by a pattern film, irradiated with ultraviolet with ultraviolet light, light, and then the exposed photosensitive coating is developed, and underlying thin film is etched away. Thick film resistors are manufactured using screen and stencil printing processes. [7] Because the time during which the sputtering is performed can be controlled, the thickness of the thin film can be accuratel accuratelyy controlled. controlled. The type of material material is also usually different consisting of one or more ceramic (cermet cermet)) conductors such as tantalum nitride (TaN), ruthenium oxide (RuO oxide (RuO 2), lead 2), lead oxide (PbO), oxide (PbO), bismuth bismuth ruthenate (Bi ruthenate (Bi 2Ru 2O 7), nickel 7), nickel chromium (NiCr), chromium (NiCr), or bismuth or bismuth iridate (Bi iridate (Bi 2Ir 2O 7). The resistance of both thin and thick film resistors after manufacture is not highly accurate; they are usually trimmed to an accurate value by abrasive or laser trimming.. Thin film resistors are usually specified ming specified with tolerances of 0.1, 0.2, 0.5, or 1%, and with temperature coefficients of 5 to 25 ppm/K 25 ppm/K.. They also have much lower noise levels, noise levels, on the level of 10–100 times less than thick film resistors. Thick film resistors may use the same conductive ceramics, but they are mixed with sintered sintered (powdered) (powdered) glass and a carrier liquid so that the composite can be screenprinted.. This composite of glass and conductive printed conductive ceramic (cerme (cermet) t) mater materia iall is then then fused(bak fused(baked) ed)in in an oven oven at about about
3.4. 3.4.7 7
Meta Metall film film
A common type of axial-leaded resistor today is the metal metal-fil -film m resi resisto stor. r. Me Metal tal Electro Electrode de Leadle Leadless ss Face Face (MELF MELF)) resistors often use the same technology, and are also cylindrically shaped but are designed for surface mounting. Note that other types of resistors (e.g., carbon composition) are also available in MELF packages. Metal Me tal film film resis resistor torss are usual usually ly coa coated ted with with nicke nickell chromium (NiCr), but might be coated with any of the cermet materials materials listed above for thin film resistors. Unlike thin film resistors, the material may be applied using different techniques than sputtering (though this is one of the techniq techniques). ues). Also, unlike unlike thin-film thin-film resisto resistors, rs, the resisresistance value is determined by cutting a helix through the coating rather than by etching. (This is similar to the way carbon resistors are made.) The result is a reasonable tolerance (0.5%, 1%, or 2%) and a temperature coefficient that is generally between 50 and 100 ppm/K. [12] Metal film resistors possess good noise characteristics and low non-linearity non-linearity due to a low voltage coefficient. coefficient. Also beneficial are their tight tolerance, low temperature coefficient and long-term stability. stability.[7] 3.4.8 3.4.8
Meta Metall oxid oxidee film
Metal-oxide film resistors are made of metal oxides such as tin oxide. This results in a higher operating temperature and greater stability/reliability than Metal film. They are used in applications with high endurance demands. 3.4.9 3.4.9
Wire Wire woun wound d
Wirewound resistors are commonly made by winding a metal wire, usually nichrome usually nichrome,, around a ceramic, plastic, or fiberglass core. The ends of the wire are soldered or welded to two caps or rings, attached to the ends of the core.. The assembl core assemblyy is protecte protectedd with a layer layer of paint, paint, molded plastic, plastic, or an enamel an enamel coating coating baked at high temperature. These resistors resistors are designed designed to withstand unusually high high temperatures of up to 450 °C. [7] Wire leads
17
3.4. FIXED FIXED RESIS RESISTOR TOR
frequency frequency.. The high frequency frequency response of wirewound resistors is substantially worse than that of a composition resistor. [7] 3.4.10 3.4.10
Foill resisto Foi resistorr
The primary resistance element of a foil resistor is a special alloy foil several micrometers several micrometers thick. thick. Since their introduction in the 1960s, foil resistors have had the best precision precision and stability of any resistor available. available. One of the important parameters influencing stability is the temperature coefficient of resistance (TCR). The TCR of foil High-power wire wound resistors used for dynamic dynamic braking braking on on resistors is extremely low, and has been further improved an electric railway car. Such resistors may dissipate many kilo- over the years. One range of ultra-precision ultra-precision foil resistors resistors watts for an extended length of time. offers offe rs a TCR of 0.14 ppm/°C, tolerance ±0.005%, longterm stability (1 year) 25 ppm, (3 years) 50 ppm (further improv improved ed 5-fold 5-fold by hermetic hermetic sealing), sealing), stabili stability ty under under load (2000 hours) 0.03%, thermal EMF 0.1 μV/°C, noise −42 dB, voltage coefficient 0.1 ppm/V, inductance 0.08 μH, capacitance capacitance 0.5 pF.[13] 3.4.11 3.4.11
Types of windings in wire resistors: 1. common 2. bifilar 2. bifilar 3. common on a thin former 4. Ayrton-Perry 4. Ayrton-Perry
in low power wirewound resistors are usually between 0.6 and 0.8 mm in diameter and tinned for ease of soldering. For higher power wirewound resistors, either a ceramic outer case or an aluminum outer case on top of an insulating layer is used – if the outer case is ceramic, such resistors are sometimes described as “cement” resistors, though they do not actually contain any traditional cement.. The aluminum-c cement aluminum-cased ased types types are designe designedd to be attached to a heat sink to dissipate the heat; the rated power is dependent on being used with a suitable heat sink, e.g., a 50 W power rated resistor will overheat at a fraction of the power dissipation if not used with a heat sink. Large wirewound resistors may be rated for 1,000 watts or more. Because Because wirewou wirewound nd resisto resistors rs are coils they they have have more more undesirable inductance than than other other types types of resi resisto stor, r, altho althoug ughh winding the wire in sections with alternately reversed direction can minimize inductance. Other techniques employ bifi bifilar lar wind winding ing,, or a flat flat thin thin forme ormerr (to (to redu reduce ce cros crossssectio sectionn area area of the coil coil). ). For For themos the mostt deman demandin dingg circ circui uits, ts, resistors with Ayrton-Perry with Ayrton-Perry winding are winding are used. Applications Applications of wirewound wirewound resistors are similar to those of composition resistors with the exception of the high
Ammete Ammeterr shunt shuntss
An ammeter An ammeter shunt is shunt is a special type of current-sensing resistor, having four terminals and a value in milliohms or even micro-ohms. Current-measuring Current-measuring instruments, by themselves, themselves, can usually accept only limited currents. To measure high currents, the current passes through the shunt across which the voltage drop is measured and interpreted as current. A typical shunt consists of two solid metal blocks, sometimes brass, mounted on an insulating base. Between the blocks, and soldered or brazed to them, are one or more strips of low temperature coefficient ficie nt of resistan resistance ce (TCR) (TCR) manganin manganin alloy. alloy. Large bolts threaded into the blocks make the current connections, while much smaller screws provide volt meter connections. Shunts are rated by full-scale full-scale current, current, and often have a voltage drop of 50 mV at rated current. Such meters are adapted to the shunt full current rating by using an appropriately marked dial face; no change need to be made to the other parts of the meter. 3.4.12 3.4.12
Grid Grid resist resistor or
In heavy-duty industrial high-current applications, applications, a grid resistor is a large convection-cooled lattice of stamped metal alloy strips connected in rows between two electrodes. trodes. Such industri industrial al grade resistors resistors can be as large as a refrigerator; some designs can handle over 500 amperes of current, with a range of resistances extending lower than 0.04 ohms. They are used in applications such as dynamic as dynamic braking and load and load banking for locomotives for locomotives and trams, neutral grounding for industrial AC distribution, control loads for cranes and heavy equipment, load testing of generators and harmonic filtering for electric substations. [14][15]
18
CHAPTER 3. RESISTOR
The term grid resistor is sometimes used to describe a 3.5.3 3.5.3 Resist Resistanc ancee decade decade boxes boxes resistor of any type connected to the control grid of grid of a vacuum tube. tube. This is not a resistor resistor technol technology; ogy; it is an electronic circuit topology. 3.4.13 3.4.13
Special Special variet varieties ies
• Cermet • Phenolic • Tantalum • Water resistor 3.5
Variab ariable le resi resisto stors rs
3.5.1
Adjusta Adjustable ble resistors resistors
A resistor may have one or more fixed tapping points so that that there the resi sista stanc ncee can be chang changed ed by movin movingg the conne connectcting wires to different terminals. Some wirewound power resistors have have a tapping point that can slide along the resistance element, element, allowing a larger or smaller smaller part of the resistance resistance to be used. Where Where con continuo tinuous us adjust adjustment ment of the resista resistance nce value value during operation of equipment equipment is required, required, the sliding resistance tap can be connected to a knob accessible to an operator. erator. Such a devic devicee is called called a rheostat rheostat and and has two terminals. 3.5.2 3.5.2
Poten Potentio tiomet meters ers
Resistance decade box “KURBELWIDERSTAND”, made in former East East Germany Germany..
A resistance decade box or resistor substitution box is a unit containing resistors of many values, with one or more mechanical switches which allow any one of various discrete resistances offered by the box to be dialed in. Usually the resistance resistance is accurate accurate to high precision, precision, ranging from laboratory/calibration grade accuracy of 20 parts per million, to field grade at 1%. Inexpensive boxes with lesser accuracy are also available. All types offer a convenient way of selecting and quickly changing a resistance in laboratory, experimental and development work without needing to attach resistors one by one, or even stock each value. The range of resistance provided, the maximum resolution, and the accuracy characterize the box. For example, one box offers resistances from 0 to 100 megohms, maximum resolution 0.1 ohm, accuracy 0.1%.[16]
Main article: Potentiometer article: Potentiometer
3.5.4 3.5.4
A potentiometer potentiometer or or pot is is a three-terminal resistor resistor with a continuously adjustable tapping point controlled by rotation of a shaft or knob or by a linear slider. It is called a potentiometer because it can be connected as an adjustable voltage justable voltage divider to divider to provide provide a variable potential variable potential at at the terminal connected connected to the tapping point. A volume control for an audio device is a common use of a potentiometer. Accurate, high-resolution panel-mounted potentiometers have resistance elements typically wirewound on a helical mandrel, although some include a conductive-plas conductive-plastic tic resistance coating over the wire to improve resolution. These typically offer ten turns of their shafts to cover their full full range. They are usually usually set with dials that include a simple turns counter and a graduated dial. Electronic analog computers used them in quantity for setting coefficients, and delayed-sweep oscilloscopes of recent decades included one on their panels.
There are various devices whose resistance changes with various various quantitie quantities. s. The resistan resistance ce of NTC thermistors NTC thermistors exhibit a strong negative temperature coefficient, making them useful for for measuring temperatures. Since their resistance can be large until they are allowed to heat up due to the passage of current, they are also commonly used to prevent excessive current excessive current surges when surges when equipment is powered powered on. Similarl Similarly, y, the resistance resistance of a humistor varies varies with humidity humidity.. One sort of photodete photodetector ctor,, the photoresistor,, has a resistance which varies with illumiphotoresistor nation. The strain The strain gauge, gauge, invented by Edward by Edward E. Simmons and Simmons and Arthur C. Ruge in Ruge in 1938, is a type of resistor that changes changes value with applied strain. A single resistor may be used, or a pair (half bridge), or four resistors connected in a Wheatstone bridge c bridge configuratio onfiguration. n. The strain resistor resistor is bonded with adhesive to an object that will be subjected to mechanical to mechanical strain. strain . With the strain gauge and a filter,
Special Special devic devices es
19
3.8. RESISTOR MARKING
amplifier, and analog/digital converter, the strain on an object can be measured. A relat related ed but mor moree recen recentt inve inventi ntion on uses uses a Quant Quantum um Tunnelling Composite to Composite to sense mechanical stress. It passes a current whose magnitude can vary by a factor of 10 12 in response to changes in applied pressure.
• BS 1852 • EIA-RS-279 • MIL-PRF-26 • MIL-PRF-390 MIL-PRF-39007 07 (Fixed (Fixed Power Power,, establis established hed reliab reliabilil-
3.6
MIL-PRF-55342 42 • MIL-PRF-553
Meas Measur urem emen entt
The The valu valuee of a resi resist stor or can can be meas measur ured ed with with an ohmmeter,, which may be one function of a multimeter ohmmeter a multimeter.. Usually, probes on the ends of test leads connect to the resistor. A simple ohmmeter may apply a voltage from a battery across the unknown resistor (with an internal resistor of a known value in series) producing a current which drives a meter movement. movement. The The curr curren ent,t, in acaccordance with Ohm’s law, law, is inversely proportional to the sum of the internal resistance and the resistor being tested, resulting in an analog meter scale which is very non-linear, calibrated from infinity to 0 ohms. A digital multimeter, using active electronics, may instead pass a specified specified current through the test resistance. resistance. The voltage generated across the test resistance in that case is linearly proportional to its resistance, which is measured and displayed. played. In either case the low-resistan low-resistance ce ranges of the meter pass much more current through the test leads than do high-re high-resis sistanc tancee ranges, ranges, in order order for for the voltage voltagess present present to be at reasonable levels (generally below 10 volts) but still measurable. Measuring low-value resistors, such as fractional-ohm resistors, with acceptable accuracy requires four-terminal connections.. One pair of terminals applies connections applies a known, calibrated current to the resistor, while the other pair senses the voltage voltage drop across across the resisto resistor. r. Some laborator laboratoryy quality ohmmeters, especially milliohmmeters, and even some of the better digital multimeters sense using four input terminals for this purpose, which may be used with special special test leads. Each of the two so-called Kelvin so-called Kelvin clips has a pair of jaws insulated from each other. One side of each clip applies the measuring current, while the other connections are only to sense the voltage drop. The resistance is again calculated using Ohm’s Law as the measured voltage divided by the applied current.
3.7 3.7
Stand tandar ards ds
3.7.1 3.7.1
Produ Producti ction on resist resistors ors
Resistor characteristics are quantified and reported using various national national standards. In the US, MIL-STD-202 [17] contains the relevant test methods to which other standards refer. There are various standards specifying properties of resistors for use in equipment:
ity)
film)
(Surface(Surface-moun mountt thick thick and thin
MIL-PRF-914 • MIL-PRF-914 MIL-R-11 STANDARD CANCELED • MIL-R-11 STANDARD • MIL-R-39017 MIL-R-39017 (Fixed, (Fixed, General General Purpose, Purpose, lished Reliability)
EstabEstab-
jumpers) • MIL-PRF-32159 (zero ohm jumpers) • UL 1412 (fusing and temperature limited resistors) resistors) [18]
There There are other other United United State Statess milita military ry procu procure reme ment nt MILMILR- standards. 3.7.2 3.7.2
Resist Resistanc ancee standar standards ds
The primary The primary standard for standard for resistance, the “mercury ohm” was initially defined in 1884 in as a column of mercury 106.3 cm long and 1 square millimeter in cross-section, at 0 degrees Celsius. Difficulties in precisely measuring the physical constants to replicate this standard result in variatio variations ns of as much as 30 ppm. ppm. From 1900 the mermercury ohm was replaced with a precision machined plate of manganin of manganin..[19] Since 1990 the international resistance standard has been based on the quantized the quantized Hall effect diseffect discovered by Klaus by Klaus von Klitzing, Klitzing , for which he won the Nobel Prize in Physics in 1985. [20] Resistors of extremely high precision are manufactured for calibration for calibration and and laboratory laboratory use. use. They may have four termin terminal als, s, using using one one pair pair to carry carry an operat operating ing curre current nt and the other pair to t o measure the voltage drop; this eliminates eliminates errors errors cause causedd by volta voltage ge drops drops acros acrosss the lead lead resi resista stanc nces es,, because no charge flows through voltage sensing leads. It is important in small value resistors (100–0.0001 ohm) where lead resistance is significant or even comparable with respect to resistance resistance standard value. [21]
3.8
Resi Resist stor or mark markin ing g
Main article: Electronic article: Electronic color code Most axial resistors use a pattern of colored stripes to indicate resistance, which also indicate tolerance, and may also also be exten extende dedd to show show tempe temperat rature ure coe coeffic fficie ient nt and relireliability class. class. Cases are usually tan, brown, blue, or green,
20
CHAPTER 3. RESISTOR
though other colors are occasionally found such as dark red or dark gray. The power rating is not usually marked marked and is deduced from the size. The colo colorr bands bands of the carbon carbon resis resistor torss can be three, three, four our,, five or, six bands. The first two bands represent represent first two digits to measure their value value in ohms. The third band of a three- or four-banded resistor represents multiplier; a fourth band denotes tolerance (which if absent, denotes ±20%). For five and six color-banded color-banded resistors, the third band is a third digit, fourth band multiplier and fifth is toleranc tolerance. e. The sixth band represen represents ts temperature temperature coefficient in a six-banded resistor. Surface-mount resistors Surface-mount resistors are marked numerically, numerically, if they are big enough to permit marking; more-recent small sizes are impractical to mark. Early 20th century resistors, essentially uninsulated, were dipped in paint to cover their entire body for colorcoding. A second color of paint was applied to one end of the element, and a color dot (or band) in the middle provided provided the third digit. The rule was “body, “body, tip, dot”, providing two significant digits for value and the decimal multiplier, multiplier, in that t hat sequence. sequence. Default Default tolerance was was ±20%. Closer-tolerance Closer-tolerance resistors resistors had silver silver (±10%) or gold-colored gold-colored (±5%) paint on the other end. 3.8.1 3.8.1
Pref Preferr erred ed value valuess
tual values used are in the IEC the IEC 60063 60063 lists of preferred numbers. Aresistorof100ohms±20%wouldbeexpectedtohavea value between 80 and 120 ohms; its E6 neighbors are 68 (54–82) and 150 (120–180) ohms. A sensible sensible spacing, E6 is used for ±20% components; E12 for ±10%; E24 for ±5%; E48 for ±2%, E96 for ±1%; E192 for ±0.5% or better. Resistors are manufactured in values from a few milliohms to about a gigaohm in IEC60063 ranges appropriate for their tolerance. Manufacturers may sort resistors into tolerance-classes tolerance-classes based on measurement. Accordingly a selection of 100 ohms resistors with a tolerance of ±10%, might not lie just around 100 ohm (but no more than 10% off) as one would expect (a bell-curve), but rather be in two groups g roups – either between between 5 to 10% too high or 5 to 10% too low (but not closer to 100 ohm than that) because any resistors the factory had measured as being less than 5% off would have been marked and sold as resistors with only ±5% tolerance tolerance or better. When designing a circuit, this may become a consideration. Earli Earlier er powe powerr wirew wirewoun oundd resi resisto stors, rs, such such as brown brown vitreous-enameled types, however, were made with a different ferent system of preferred preferred values, such as some of those mentioned mentioned in the first sentence of this section. 3.8.2 3.8.2
SMT SMT resi resist stor orss
See also: Preferred also: Preferred number § E series Early resistors were made in more or less arbitrary round numbers; a series might have 100, 125, 150, 200, 300, etc. Resistors as manufactured manufactured are subject subject to a certain percentage tolerance percentage tolerance,, and it makes sense to manufacture manufacture values that correlate with the tolerance, so that the actual value of a resistor overlaps slightly with its neighbors. Wider spacing spacing leaves leaves gaps; narrower narrower spacing increases manufacturing and inventory costs to provide resistors that are more or less interchangeable. A logical scheme is to produce resistors in a range of values which increase in a geometric a geometric progression, progression, so that each value is greater than its predecessor by a fixed multiplier or percentage, chosen chosen to match the tolerance of the range. For example, example, for a tolerance tolerance of ±20% it makes makes sense to have each resistor about 1.5 times its predecessor, covering a decade in 6 values. In practice the factor factor used is 1.4678, giving values of 1.47, 2.15, 3.16, 4.64, 6.81, 10 for the 1–10-decade (a decade is a range increasing by a factor of 10; 0.1–1 and 10–100 are other examples); examples); these are rounded in practice practice to 1.5, 2.2, 3.3, 4.7, 6.8, 10; followed, by 15, 22, 33, … and preceded by … 0.47, 0.68, 1. This scheme scheme has been been adopted as the E6 series series of of the IEC the IEC 60063 60063 preferred preferred number values. number values. There are also E12, E24, E48, E96 and E192 series for compone components nts of progress progressive ively ly finer finer resoluti resolution, on, with 12, 24, 96, and 192 different values within each decade. The ac-
This image shows four surface-mount resistors (the component at the upper left left is a capacitor a capacitor ) including two zero-ohm two zero-ohm resistors. resistors. Zero-ohm links are often used instead of wire links, so that they can be inserted by a resistor-inserting resistor-inserting machine. Their resistance is non-zero but negligible.
Surface mounted resistors are printed with numerical values in a code related to that used on axial resistors. Standard-tolerance surface-mount Standard-tolerance surface-mount technology (SMT) re(SMT) resistors are marked with a three-digit code, in which the first two digits are the first two significant digits of digits of the value and the third digit is the power of ten (the number of zeroes). For example: example:
21
3.10. FAILURE FAILURE MODES
Resistan Resistances ces less than 100 ohms are written: written: 100, 220, 470. The final final zero represe represents nts ten to the power zero, zero, which is 1. For example: Someti Sometime mess these these value valuess are marke markedd as 10 or 22 to preven preventt a mistake. Resistances less than 10 ohms have 'R' to indicate the position of the decimal point (radix ( radix point). point). For example: Precision resistors are marked with a four-digit code, in which the first three digits are the significant figures and the fourth is the power of ten. For example: 000 and 0000 sometimes appear as values on surfacemount zero-ohm mount zero-ohm links, links, since these have (approximately) zero resistance. More recent surface-mount resistors are too small, physically, ically, to permit practical markings to be applied. applied.
bulk metal foil resistors may have a noise index of −40 dB, usually usually making the exce excess ss noise of metal foil foil resistors resistors insignificant. [23] Thin film surface mount resistors typically have lower noise and better thermal stability than thick film surface surface mount resistors. Excess noise noise is also size-dependent: size-dependent: in general excess noise is reduced as the physical physical size of a resistor is increased (or multiple resistors are used in parallel), as the independently independently fluctuating resistances of smaller components will tend to average out. Whil Whilee not not an exam exampl plee of “noi “noise se”” per per se, se, a resi resist stor or may may act act as a thermocouple a thermocouple,, producing a small DC voltage differential across it due to the thermoelectric the thermoelectric effect if effect if its ends are at different different temperatures. This induced DC voltage can degrade the precision of instrumentation amplifie amplifiers rs in particular. Such voltages voltages appear in the junctions of the resistor leads leads with the circuit circuit board and with the resistor body. Common metal film resistors show such an effect at a magnitude of about 20 µV/°C. Some carbon compo3.8.3 Industrial Industrial type designati designation on sition resistors can exhibit thermoelectric thermoelectric offsets as high as 400 µV/°C, µV/°C, wher whereas eas speci speciall allyy cons construc tructed ted resi resisto stors rs can can letters]
[r e>[resista esistance nce value value (three (three reduce this number to 0.05 µV/°C. In applications where Format: [two letters]< digit)][tolerance code(numerical – one digit)] the thermoe thermoelec lectric tric effect effect may become become important, important, care carehas has [22] to be taken to mount the resistors horizontally to avoid temperature gradients and to mind the air flow over the board.[24]
3.9
Electr Electric ical al and therma thermall noise noise
Main article: Noise article: Noise (electronics) In amplifying faint signals, it is often necessary to minimize electronic mize electronic noise, noise , particularly particularly in the first stage of amplificati plification. on. As a dissipati dissipative ve elemen element,t, even even an ideal ideal resisto resistorr will naturally produce a randomly fluctuating voltage or “noise” across its terminals. terminals. This Johnson–Nyquist This Johnson–Nyquist noise is a fundamental noise source which depends only upon the temperature and resistance of the resistor, and is predicted by the fluctuation–dissipati the fluctuation–dissipation on theorem theorem.. Usin Usingg a larger value of resistance produces a larger voltage noise, whereas with a smaller value of resistance there will be more current noise, at a given temperature. The thermal noise of a practical resistor may also be larger than the theoretical theoretical prediction and that increase is typically frequency-dependent. Excess noise of a practical cal resis resistor tor is observ observed ed only only when when curre current nt flows flows throu through gh it. This This is spec specifi ified ed in unit unit of μV/V/ μV/V/de deca cade de – μV of nois noisee per per volt applied across the resistor per decade of frequenc frequency. y. The μV/V/decade value is frequently frequently given in dB so that a resistor with a noise index of 0 dB will exhibit 1 μV (rms) of excess noise for each volt across the resistor in each frequency decade. Excess noise is thus an example f noise. of 1/ f noise. Thick-film Thick-film and carbon carbon composi composition tion resisto resistors rs generate more excess noise than other types at low frequencies. quencies. Wire-wound Wire-wound and thin-film resistors are often used for their better noise characteristics. Carbon composition resistors can exhibit a noise index of 0 dB while
3.10 3.10
Fail Fa ilur uree mode modess
The failure rate of resistors in a properly designed circuit is low compared to other electronic electronic components such as semiconductors semiconductors and electrolytic electrolytic capacitors. capacitors. Damage to resistors most often occurs due to overheating when the avera average ge powe powerr deli delive vered red to it (as com comput puted ed above above)) greatl greatlyy exceeds its ability to dissipate heat (specified by the resistor’s power rating ). This may be due to a fault external to the circuit, but is frequently caused by the failure of another component (such as a transistor that shorts out) in the circuit connected connected to the resistor. Operating a resistor too close to its power rating can limit the resistor’s lifeslifespan or cause a significant change in its resistance. A safe design design generally generally uses overrated overrated resistors resistors in power applications to avoid this danger. Low-power thin-film resistors can be damaged by longterm high-voltage stress, even below maximum specified voltage and below below maximum power rating. This is often the case for the startup resistors feeding the SMPS integrated circuit. When overheated, carbon-film resistors may decrease or increase in resistance. [25] Carbon film and composition resistors can fail (open circuit) if running close to their maximum dissipation. This is also possible but less likely with metal film and wirewound resistors. There can also be failure of resistors due to mechanical stress and adverse environmental factors including hu-
22
CHAPTER 3. RESISTOR
midity. If not enclosed, wirewound resistors can corrode. 3.12 3.12 Ref Referen erence cess Surface mount resistors have been known to fail due to “Resistors stors:: A Motor with with a the ingress of sulfur into the internal makeup of the re- [1] Douglas Wilhelm Harder. “Resi Constant Force (Force Source)" Source)". . Department of Electrisistor. This sulfur chemically chemically reacts with the silver silver layer cal and Computer Engineering, University of Waterloo. to produce non-conductive non-conductive silver silver sulfide. sulfide. The resistor’s Retrieved 9 November 2014. impedanc impedancee goes to infinity. infinity. Sulfur Sulfur resistant resistant and anticorrosive resistors are sold into automotive, industrial, [2] Farago, PS, An Introduction to Linear Network Analysis, and military military applicati applications. ons. ASTM B809 is an industry industry pp. 18–21, The English Universities Universities Press Ltd, 1961. standard that tests a part’s susceptibility susceptibility to sulfur. [3] F Y Wu (2004). (2004). “Theory “Theory of resi resisto storr network networks: s: The An alternative failure mode can be encountered where two-point resistance”. Journal of Physics A: Mathematlarge value resistors are used (hundreds of kilohms and ical and General 37 (26): (26): 6653. 6653. doi doi::10.1088/0305higher). Resistors are not only specified with a maximum 4470/37/26/004.. 4470/37/26/004 power dissipation, dissipation, but also for a maximum voltage drop. Wu; Chen Ning Yang Yang (15 March 2009). Exactly Exceeding this voltage will cause the resistor to degrade [4] Fa Yueh Wu; Solved Solv ed Models: Models: A Journey Journey in Statis Statistical tical Mechani Mechanics cs : Seslowl slowlyy reducing reducing in resista resistance. nce. The voltage voltage dropped dropped across across lected Papers with Commentaries (1963–2008) . World orld large value resistors can be exceeded before the power Scient Scientifi ific. c. pp. 489–. 489–. ISBN 978-981-281-388-6. 978-981-281-388-6 . ReRedissipati dissipation on reache reachess its limiting limiting value. value. Since Since the maximum maximum trieved 14 May 2012. voltage specified for commonly encountered resistors is a few few hundred volts, this is a problem only in applications applications [5] A family family of resistors may also be characterized according according where these voltages are encountered. toits critical resistance. Applying Applying a constant constant voltage voltage across across resistors in that family below the critical resistance will Variable resistors can also degrade in a different manexceed the maximum power rating first; resistances larger ner, typically involving poor contact between the wiper than the critical resistance will fail first from exceeding and the body of the resistance. This may be due to dirt the maximum voltage rating. See Wendy Wendy Middleton; Mac or corrosion and is typically perceived as “crackling” as E. Van Valkenburg (2002). Reference data for engineers: the contact resistance fluctuates; this is especially noticed radio, electronics, computer, and communications (9 ed.). as the device device is adjus adjusted. ted. This is similar similar to crac crackling kling Newnes. pp. 5–10. ISBN 5–10. ISBN 0-7506-7291-9. 0-7506-7291-9. caused by poor contact in switches, and like switches, [6] Jame Jamess H. Harte Harter, r, Paul Paul Y. Lin, Lin, Essentials Essentials of electric electric circuits circuits, potentiometers potentiometers are to some extent self-cleaning: self-cleaning: running [6] pp. 96–97, Reston Publishing Company, 1982 ISBN 1982 ISBN 0the wiper across the resistance may improve the contact. 8359-1767-3.. 8359-1767-3 Potentiometers which are seldom adjusted, especially in dirty or harsh environments, are most likely to develop [7] Vishay Beyschlag Basics of Linear Fixed Resistors Applithis problem problem.. When When self-cleani self-cleaning ng of the contact contact is incation Note, Document Number 28771, 2008. sufficient, sufficient, improvement can usually be obtained through the use of contact cleaner (also known as “tuner cleaner”) [8] C. G. Morris (ed) Academic Press Dictionary of Science and Technology Technology, Gulf Professi Professional onalPubl Publishi ishing, ng, 1992 ISBN spray. The crackling crackling noise associated associated with turning the 0122004000, 0122004000 , page 360 shaft of a dirty potentiometer in an audio circuit (such as the volume control) is greatly accentuated when an unde- [9] Principles of automotive vehicles United States. Dept. of sired DC voltage is present, often indicating the failure of the Army, 1985 page 13-13 a DC blocking capacitor in the circuit. [10] “Carbon Film Resistor”. Resistor”. The Resistorguide Resistorguide. Retrieved 10 March 2013.
3.11 3.11
See See also also
• thermistor • piezoresistor • Circuit design • Dummy load • Electrical impedance • Iron-hydrogen resistor • Shot noise • Trimmer (electronics)
[11] “Thick Film and Thin Film” (PDF). Film” (PDF). Digi-Key (SEI). Retrieved 23 July 2011. [12] Kenneth A. Kuhn. “Measuring the Temperature Coefficient of a Resistor” (PDF). Resistor” (PDF). Retrieved 2010-03-18. [13] “Alpha Electronics Corp. Metal Foil Resistors”. Resistors”. Alphaelec.co.jp. Retrieved 2008-09-22. [14] Milwaukee Resistor Corporation. ''Grid Resistors: High Power/High Power/Hi gh Current'' Current''.. Milwaukeeresi Milwaukeeresistor.com. stor.com. Retrieved on 2012-05-14. [15] Avtron Loadbank. ''Grid Resistors’' Resistors’'.. Avtron. vtron.com com.. Retrieved on 2012-05-14. [16] “Decade Box – Resistance Decade Boxes”. Boxes” . Ietlabs.com. Retrieved 2008-09-22.
3.13. EXTERNAL EXTERNAL LINKS [17] “Test method standard: electronic and electrical component parts” (PDF). parts” (PDF). Department of Defense. [18] http://ulstandardsinfonet.ul.com/scopes/scopes.asp?fn= 1412.html [19] Stab Stabililit ityy of Do Doub uble le-W -Wal alle ledd Ma Mang ngan anin in Re Resi sist stor orss. NIST.gov [20] Klaus von Klitzing The Klitzing The Quantized Hall Effect E ffect.. Nobel lecture, December 9, 1985. nobelprize.org [21] “Standard Resistance Unit Type 4737B”. 4737B” . Tinsley.co.uk. Retrieved 2008-09-22. [22] A. K. Maini Electronics and Communications Simplified , 9th ed., Khanna Publications (India) [23] Audio Noise Reduction Through Throug h the Use of Bulk Metal Foil (PDF)., Application Application note Resistors – “Hear the Difference” (PDF)., AN0003, Vishay Intertechnology Inc, 12 July 2005. [24] Walt Jung. “Chapter 7 – Hardware and Housekeeping Housekeeping Techniques” (PDF). Techniques” (PDF). Op Amp Applications Handbook . p. 7.11. ISBN 7.11. ISBN 0-7506-7844-5. 0-7506-7844-5. [25] “Electronic components – resistors”. resistors”. Inspector’s Technical Guide. US Food and Drug Administration. 1978-0116. Archived Archived from the from the original on original on 2008-04-03. Retrieved 2008-06-11.
3.13 3.13
Exte Extern rnal al link linkss
• 4-terminal resistors – How ultra-precise resistors work
• Beginner’s guide to potentiometers, including description of different tapers
• Color Coded Resistance Calculator – archived with WayBack Machine
• •
Resistor Types Types – Does It Matter? Standard Resistors & Capacitor Values Values That Industry Manufactures
• Ask The Applications Engineer – Difference between types of resisto resistors rs
• Resistors and their their uses • Thick film resistors and heaters
23
Chapter 4
Transistor For other uses, see Transistor see Transistor (disambiguation). (disambiguation). field of electronics, and paved the way for smaller and A transistor is a semiconductor a semiconductor device used device used to amplify to amplify cheaper radios cheaper radios,, calculators calculators,, and computers and computers,, among other things. The transistor is on the list the list of IEEE milestones in milestones in [1] electronics, and the inventors were jointly awarded the 1956 Nobel 1956 Nobel Prize in Physics for Physics for their achievement. [2]
4.1 4.1
Histo istory ry
Main article: History article: History of the transistor t ransistor The thermionic The thermionic triode, triode, a vacuum a vacuum tube invented tube invented in 1907
Assorted discrete transistors. Packages in order from top to bottom: TO-3 TO-3 , TO-126 , TO-126 , TO-92 , TO-92 , SOT-23 , SOT-23
and switch and switch electronic signals electronic signals and electrical and electrical power. power . It is composed of semiconductor of semiconductor material material with at least three terminals for connection to an external circuit. A voltage or current or current applied applied to one pair of the transistor’s terminals changes the current through another pair of terminals. Because the controlled (output) power (output) power can can be higher than the controlling (input) power, a transistor can amplify can amplify a a signal. Today, some transistors transistors are packaged packaged individuindividually, but many more are found embedded in integrated circuits.. circuits The transistor is the fundamental building block of modern electronic ern electronic devices, devices , and is ubiquitous ubiquitous in modern electroni tronicc syste systems. ms. Follo Followin wingg its devel developm opmen entt in 1947 1947 by American physicists American physicists John Bardeen, Bardeen , Walter Brattain, Brattain, and William and William Shockley, Shockley , the transistor revolutionized the
A replica of the first working transistor.
enabled amplified radio technology and long-distance telephony.. The triode, however, was a fragile device that telephony consumed a lot of power. Physicist Julius Edgar Lilienfeld filed feld filed a patent for a field-effect transistor (FET) in Canada in 1925, which was intended to be a solid-state replacement for the triode. [3][4] Lilienfeld also filed identica ticall pate patent ntss in the the Unit United ed Stat States es in 1926 1926[5] and 1928. 1928.[6][7] However, Lilienfeld did not publish any research articles about his devices nor did his patents cite any specific examples of a working prototype. Because the production of high-quality semiconductor materials was still decades away, Lilienfeld’s solid-state amplifier ideas would not have found found practical use in the 1920s and 1930s, even if such such a devic devicee had been been built. built.[8] In 1934, German German invento inventorr
24
25
4.2. IMPORTANC IMPORTANCE E
Oskar Heil patented Heil patented a similar device. [9]
Bell Labs’ scientists had already invented the transistor before before them, the company rushed to get its “transistron” into production for amplified use in France’s telephone network. [15]
John Bardeen, William Shockley and Walter Brattain at Bell Labs, 1948.
From November 17, 1947 to December 23, 1947, John Bardeen and Bardeen and Walter Walter Brattain at Brattain at AT&T AT&T's's Bell Bell Labs in Labs in the United States, performed experiments experiments and observed that when two gold point contacts were applied to a crystal of germanium of germanium,, a signal was produced with the output power greater than the input. [10] Solid State Physics Group leader William leader William Shockley saw Shockley saw the potential in this, and over the next few months worked to greatly expand the knowled knowledge ge of semicondu semiconducto ctors. rs. The term transistor was was coined by John R. Pierce as a contraction of the term transresistance.[11][12][13] According to Lillian Hoddeson and Vicki Daitch, authors of a biography of John John Barde Bardeen, en, Shock Shockle leyy had propo proposed sed that that Bell Bell Labs’ Labs’ first first patent for a transistor should be based on the field-eff field-effect ect and that he be named as the inventor. Having unearthed Lilienfeld’s patents that went into obscurity years earlier, lawyers at Bell Labs advised against Shockley’s proposal because the idea of a field-eff field-effect ect transistor transistor that used an electric electric field as a “grid” was not new. new. Instead, what Bardeen, Brattain, and Shockley invented in 1947 was the first point-contact first point-contact transistor. transistor.[8] In acknowledgement of this accomplishment, Shockley, Bardeen, and Brattain weree jointly wer jointlyaw awarde ardedd the 1956 Nobe Nobell Priz Prizee in Phy Physic sicss “for their their researc researches hes on semicon semiconduc ductors tors and their their discove discovery ry of [14] the transistor effect.” In 1948, the point-contact transistor was independently invente inventedd by German German physic physicists ists Herbert Herbert Matar Mataréé and Heinrich Welker while working at the Compagnie des Freins et Signaux, a Westinghouse subsidiary located in Paris in Paris.. Mataré Mataré had previou previouss experienc experiencee in devel developoping crystal ing crystal rectifiers from rectifiers from silicon silicon and and germanium in the German radar German radar effort effort during World during World War II. II . Using this knowledge, he began researching the phenomenon of "interference interference"" in 1947. By June 1948, 1948, witnessi witnessing ng currents flowing through point-contacts, Mataré produced consistent consistent results using samples of germanium produced by Welker, similar to what Bardeen and Brattain had accomplis complished hed earlier earlier in Decembe Decemberr 1947. Realizing Realizing that
Philco Philco surf surface-barrie ace-barrierr transisto transistorr deve developed loped and produced produced in 1953
The first high-f high-freq requenc uencyy transisto transistorr was the surface-barrier germanium transistor developed transistor developed by Philco by Philco in in 1953, ca[16] pable of operating up to 60 MHz. These were made by etching depressions into an N-type germanium base from both sides with jets of Indium(III) sulfate until sulfate until it was a few ten-thousandths of an inch thick. Indium Indium elec electroplated into the depressions formed the collector and emitter. [17][18] The first first all-t all-trans ransisto istorr car radi radioo, which which was producedin1955by Chrysler andPhilco, andPhilco, used used these these trantransistors in its circuitry and also they were the first suitable for high-speed computers. [19][20][21][22] The first working silicon transistor was developed at Bell Labs on January 26, 1954 by Morris Tanenba Tanenbaum. um. The first commercial silicon transistor was produced by Texas Instruments in Instruments in 1954. This was the work of Gordon of Gordon Teal, Teal, an expert in growing crystals of high purity, who had previously worked at Bell Labs. [23][24][25] The first MOS first MOS transistor actually built was by Kahng and Atalla at Bell Labs in 1960. [26]
4.2 4.2
Impo Import rtan ance ce
The transistor is the key active component in practically all modern electronics modern electronics.. Many consider consider it to be one of the greatest inventions inventions of the 20th century. [27] Its importance in today’s society rests on its ability to be mass-produce mass-producedd using a highly automated process (semiconductor ( semiconductor device fabrication)) that achieves astonishingly low per-transistor fabrication
26
CHAPTER 4. TRANSISTOR TRANSISTOR
VCC
VOUT collector
VIN
base
emitter
A Darlington transistor opened opened up so the actual transistor chip (the small square) square) can be seen inside. inside. A Darlington Darlington transistor transistor is effectivel effectivelyy two transistors on the same chip. One transistor is much larger than the other, but both are large in comparison to transistors in large-scale in large-scale integration because integration because this particular example is intended for power applications.
costs. costs. Thein The inve venti ntion on of the first first trans transis istor tor at Bel Belll Labs was [28] named an IEEE an IEEE Mileston Milestonee in 2009. Although several companies companies each produce over a billion individually packaged (known as discrete) transistors every year,[29] the vast majority of transistors are now produced in integrated in integrated circuits (often circuits (often shortened to IC , miwith diodes,, resistors, resistors, crochips or simply chips), along with diodes capacitors and capacitors and other electronic other electronic components, components , to produce complete electronic circuits. A logic gate consists gate consists of up to about twenty transistors whereas an advanced microproc proces esso sor, r, as of 2009 2009,, can can use use as manyas manyas 3 bill billio ionn tran transi siss[30] tors (MOSFETs (MOSFETs).). “About 60 million transistors were built built in 2002 ... for [each] [each] man, woman, and child on Earth.”[31] The transistor’s low cost, flexibility, and reliability have made it a ubiquitous device. device. Transistorized Transistorized mechatronic mechatronic circuits have replaced electromechanical replaced electromechanical devices in controlling appliances appliances and machinery. It is often easier and cheaper to use a standard microcontroller and write a computer program to program to carry out a control function than to design an equivalent mechanical control function.
4.3
Simpli Simplified fied operat operatio ion n
A simple circuit diagram to show the labels of a n–p–n bipolar transistor.
ferences in how they are used in a circuit. A bipolar transistor has has terminals labeled base, collector, and emitter. A small current at the base terminal (that is, flowing between the base and the emitter) can control or switch a muchh larger muc largercu curre rrent nt betwe between en the coll collec ector tor andemi and emitte tterr terterminals. For a field-effect transistor , the terminals are labeled gate, source, and drain, and a voltage at the gate can control a current between source and drain. The image to the right represents a typical bipolar transistor in a circuit. Charge will flow between emitter and collector collector terminals depending on the current in the base. Because internally the base and emitter connections behave like a semiconductor diode, a voltage drop develops between base and emitter while the base current exists. The amount of this voltage depends on the material the transistor is made from, and is referred to as V BE. BE. 4.3.1 4.3.1
IBE
Transi Transisto storr as a switch switch
+ 6 V
The essential usefulness of a transistor comes from its abil abilit ityy to use use a smal smalll sign signal al appl applie iedd betw betwee eenn one one pair pair of its its terminals to control a much larger signal at another pair 1k of terminals. This property is called gain called gain.. It can produce a stronger output signal, a voltage or current, that is proICE portional to a weaker input signal; that is, it can act as an amplifier an amplifier.. Alternatively, the transistor can be used to turn current on or off in a circuit as an electrically controlled switch switch,, wher wheree the amoun amountt of curre current nt is deter determin mined ed by other circuit elements. BJT used as an electronic switch, in grounded-emitter configuraconfiguraThere are two types of transistors, which have have slight dif- tion.
27
4.4. COMPARISON COMPARISON WITH VAC VACUUM UUM TUBES TUBES
Transistors are commonly used as electronic switches, both for high-power applications such as switched-mode power supplies and supplies and for low-power applications such as logic gates. gates. In a grounded-emitter transistor circuit, such as the lightswitch circuit shown, as the base voltage rises, the emitter and collector collector currents rise exponentially. exponentially. The collector collector voltage drops because of reduced resistance from collector to emitter. If the voltage difference difference between the collector and emitter were zero (or near zero), z ero), the collector current would be limited only by the load resistance (light bulb) and the supply voltage. This is called saturation because current is flowing from collector to emitter freely. freely. When saturated, the switch is said to be on.[32] Providing sufficient base drive current is a key problem in the use of bipolar transistors as switches. The transistor provides provides current gain, allowing a relativel relativelyy large current in the collector to be switched by a much smaller current into the base terminal. terminal. The ratio of these currents currents varies depending on the type of transistor, and even for a particular type, varies depending on the collector current. In the example example light-switch circuit circuit shown, shown, the resistor is chosen to provide enough base current to ensure the transistor will be saturated. In any switching circuit, values of input voltage would be chosen such that the output is either completely off, [33] or completely on. The transistor is acting as a switch, and this type of operation is common in digital in digital circuits where circuits where only “on” and “off” values are relevant. relevant.
The common-emitter The common-emitter amplifier is amplifier is designed so that a small change in voltage ( V ᵢ) ᵢ) changes the small current through the base of the transistor; the transistor’s current amplification combined with the properties of the circuit mean that small swings in V ᵢᵢ produce large changes in V ₒᵤ. ₒᵤ. Various configurations of single transistor amplifier are possible, with some providing current gain, some voltage gain, and some both. From mobile phones to televisions televisions,, vast vast number numberss of prodproducts include amplifiers for sound reproduction, reproduction, radio transmission,, and signal transmission and signal processing. processing . The first first discre discretetetransistor audio amplifiers barely supplied a few hundred milliwatts, but power and audio fidelity gradually increased increased as better transistors became available available and amplifier architecture evolved. Modern Moderntran transi sisto storr audio audio amplifi amplifiers ersof of up to a few hundre hundredd watts are watts are common and relatively inexpensive.
4.3.2 4.3.2
The key advantages that have allowed transistors to replace their vacuum tube predecessors in most applications are
Transi Transisto storr as an amplifie amplifierr
V+
R1
RC C
Vin
B
4.4
Comparison tubes
with
vacuum
Prior to the development of transistors, vacuum (electron) tubes (or tubes (or in the UK “thermionic valves” or just “valves”) “valves”) were the main active components in electronic electronic equipment. 4.4.1 4.4.1
Advan Advantag tages es
• No power consumption by a cathode heater; the characteristic orange glow of vacuum tubes is due to a simple electrical heating element, much like a light bulb filament.
Vout Cout
weight, allowing the devel• Small size and minimal weight, opment of miniaturized electronic devices.
• Low operating voltages compatible with batteries of only a few cells.
• No warm-up period for cathode heaters required af-
Cin
ter power application.
E
• Lower power dissipation and generally greater en R2
RE
CE
ergy efficiency.
• Higher reliability and greater physical ruggedness. Extremely long life. Some transistori transistorized zed devices devices • Extremely have been in service for more than 50 years.
Amplifier circuit, common-emitter configuration with a voltagedivider bias circuit.
• Complementary devices available, facilitating the
design of complementary-symmetry of complementary-symmetry circuits, circuits, something not possible with vacuum tubes.
28
CHAPTER 4. TRANSISTOR TRANSISTOR
• Greatly reduced sensitivity to mechanical shock
and and vibr vibrat atio ion, n, thus thus redu reduci cing ng the the prob proble lem m of microphonics in microphonics in sensitive applications, such as audio.
4.4.2 4.4.2
Limit Limitat atio ions ns
fail. [34]
• Silicon transistors can age and • High-power, high-frequency operation, such as that
used in over-the over-the-air -air tele television vision broadcasti broadcasting ng,, isis better better achieved in vacuum tubes due to improved electron improved electron mobility in mobility in a vacuum.
• •
term f T , an abbreviation for transition for transition frequency— frequency— the frequency of transition is the frequency at which the transistor yields unity gain) Application: • Application:
switch, switch, general purpose, audio, high voltage,, super-beta, matched pair voltage
• Physical packaging: through-hole metal, through-hole metal, through-
hole plastic, surface plastic, surface mount, mount, ball grid array, array, power modules—see Packaging modules—see Packaging
factor hₑ,, βF (transistor (transistor beta) beta)[36] or • Amplification factor hₑ g (transconductance (transconductance).).
Soli Solidd-st stat atee devi device cess are are more more vuln vulner erab able le to Thus, a particular transistor may be described as silicon, electrostatic elec trostatic dischar discharge ge in hand handliling ng and and oper operaa- surface-mount, BJT, n–p–n, low-power, high-frequency switch . tion A vacuum vacuum tube tube momen momentar tarililyy over overlo loade adedd will will just just get get junction transistor transistor (BJT) a little hotter; solid-state devices have less mass to 4.5.1 Bipolar junction absorb the heat due to overloads, in proportion to Main article: Bipolar junction transistor their rating
• Sensitivity to radiation and cosmic rays (special
Bipolar transistors are so named because they conduct by radiation-hardened chips are used for spacecraft de- using both majority and minority carriers minority carriers.. The bipolar bipolar vices). junction junction transistor, the first type of transistor to be mass• Vacuum tubes create a distortion, the so-called tube produced, is a combination of two junction diodes, and sound,, which some people find to be more tolerable is formed of either a thin layer of p-type semiconducsound tor sandwiched between two n-type semiconductors (an to the ear.[35] n–p–n transistor), or a thin layer of n-type semiconductor sandwiched between two p-type semiconductors (a p–n–p transistor). This construction construction produces produces two p–n two p–n 4.5 Types junctions:: a base–emitter junction and a base–collector junctions junction, separated by a thin region of semiconductor BJT and JFET symbols symbols known as the base region (two junction diodes diodes wired toJFET and IGFET symbols gether without sharing an intervening semiconducting region will not make a transistor). Transistors are categorized by BJTs have three terminals, corresponding to the three layers layers of semiconductor—an semiconductor—an emitter , a base, and a colThey are useful useful in amplifiers amplifiers because because the curSemiconductor uctor material (da (date te first first used used): ): the the lector . They • Semicond rents at the emitter and collector are controllable by a metalloids germanium (1947) germanium (1947) and silicon and silicon (1954)— (1954)— [37] relativelyy small base current.” In an n–p–n transistor in amorphous in amorphous,, polycrystalline polycrystalline and and monocrystalline relativel active region, the emitter–base junction junction form; the compounds the compounds gallium arsenide (1966) arsenide (1966) and operating in the active ( electrons and and holes holes recombine recombine at the silicon carbide (1997), carbide (1997), the alloy the alloy silicon-germanium is forward biased (electrons (1989), the allotrope the allotrope of carbon graphene (research graphene (research junction), and electrons are injected into the base region. Because Because the base is narrow, most of these elecelecongoing since 2004), etc.—see Semiconductor etc.—see Semiconductor ma- gion. trons will diffuse into the reverse-biased (electrons and terial holes are formed at, and move away from the junction) • Structure: BJT BJT,, JFET, JFET, IGFET (MOSFET MOSFET),), base–collector junction and be swept into the collector; insulated-gate insula ted-gate bipolar transistor, transistor , “other types” perhaps one-hundredth of the electrons will recombine in the base, which is the dominant mechanism in the base polarity (positive and negative): n–p–n, n–p–n, current. By controlling the number of electrons that can • Electrical polarity (positive p–n–p (BJTs); p–n–p (BJTs); n-channel, n-channel, p-channel (FETs) leave leave the base, the number of electrons electrons entering the col[37] Collector current is approxlector can be controlled. Maximum power rating: rating: low, medium, medium, high • Maximum power imately imately β (common-emitter (common-emitter current gain) times the base • Maximum operating frequency: low, medium, high, current. It is typically greater than 100 for small-signal small-signal radio (RF), radio (RF), microwave microwave frequency frequency (the maximum ef- transistors but can be smaller in transistors designed for fective frequency of a transistor is denoted by the high-power applications.
4.5. TYPES TYPES
Unlike the field-effect transistor (see below), the BJT is a low–input-impedance device. Also, as the base–emitter voltage (Vbe) is increased the base–emitter current and hence the collector–emitter current ( Ice) increase exponentially nentially according to the Shockley the Shockley diode model and model and the Ebers-Moll model. model . Because of this exponential relationrelationship,theBJThasahigher transconductance thantheFET. Bipolar transistors can be made to conduct by exposure to light, because absorption of photons in the base region generates a photocurrent that acts as a base current; the collector collector current is approximately approximately β times t imes the photocurrent. rent. Devices Devices design designed ed for for this purpose purpose have have a transpare transparent nt window in the package and are called phototransis phototransistors tors..
29
Metal–semiconductor FETs (MESFETs ( MESFETs)) are JFETs in which the reverse the reverse biased p–n biased p–n junction is replaced by a metal–semiconductor junction. junction. These, and the HEMTs (high-electron-mo (high-electron-mobility bility transistors, or HFETs), in which which a two-di two-dime mens nsio ional nal elec electro tronn gas with with very very high high carrie carrierr mobility is used for charge transport, are especially suitable suitable for use at very high frequencies (microwave frequencies; several GHz). FETs FETs are furthe urtherr divid divided ed into into depletion-mode and enhancement-mode types, types, depe dependi nding ng on wheth whether er the channel channel is turned on or off with zero gate-to-source voltage. For enhancement enhancement mode, the channel channel is off at zero bias, and a gate potential can “enhance” the conduction. For For the the depl deplet etio ionn mo mode de,, the the chan channe nell is on at zero zero bias bias,, and and a gate potential (of the opposite polarity) can “deplete” the channel, channel, reducing reducing conduction conduction.. For either either mode, a 4.5.2 Field-eff Field-effect ect transistor transistor (FET) moree positi mor positive ve gate gate volta voltage ge corre corresp spond ondss to a highe higherr curre current nt for n-channel devices and a lower current for p-channel Main articles: articles: Field Field-eff -effect ect transistor transistor,, MOSFET and devices. devices. Nearly all JFETs are depletion-m depletion-mode ode because JFET the diode junctions would forward bias and conduct if they were enhancement-mode devices; most IGFETs are The field-effect transistor , sometimes called a unipolar enhancement-mode types. transistor , uses either electrons (in n-channel FET ) or holes (in p-channel FET ) for conduction. The four terminals of the FET are named source, gate, drain, and body 4.5. 4.5.3 3 Usag Usagee of bipo bipola larr and and field field-e -eff ffect ect (substrate). On most FETs, the body is connected to the transistors source inside the package, and this will be assumed for the following description. The bipolar The bipolar junction transistor (BJT) transistor (BJT) was the most comtransistor in the 1960s and 70s. Even after In a FET, the drain-to-source current flows via a conductconduct- monly used transistor ingch ing chann annel el that that conne connect ctss the source regiontothe drain re- MOSFETs became widely available, the BJT remained gion. The conductivity conductivity is varied by the electric field field that the transistor of choice for many analog circuits such as is produced when a voltage is applied between the gate amplifiers because of their greater linearity and ease of manufacture. In integrated circuits, the desirable desirable propand source terminals; hence the current flowing between manufacture. the drain and source is controlled by the voltage applied erties of MOSFETs allowed them to capture nearly all between between the gate and source. source. As the gate–source gate–source voltvolt- market share for digital circuits. Discrete MOSFETs can age (Vgs) is increased, increased, the drain–source current current ( Ids) in- be applied in transistor applications, including analog circreases exponentially exponentially for Vgs belo below w thresho threshold, ld, and then at cuits, voltage regulators, amplifiers, power transmitters 2 a roug roughl hlyy quadr quadrati aticc rate rate ( I ds (wheree VT and motor drivers. ds ∝ (V gs − V T ) ) (wher is the threshold voltage at which drain current begins) [38] in the "space-charge-limited "space-charge-limited " region above threshold. A 4.5.4 Other Other transis transistor tor types types quadratic behavior is not observed in modern devices, for 4.5.4 example, at the 65 the 65 nm technology nm technology node. [39] For early bipolar transistors, see Bipolar junction tranFor low noise at narrow bandwidth narrow bandwidth the the higher input resis- sistor#Bipo sistor#Bipolar lar transistors transistors.. tance of the FET is advantageous. advantageous. FETs are divided into two families: junction FET (JFET (JFET)) and insulated gate FET (IGFET). The IGFET is more junction transistor • Bipolar junction commonly known as a metal–oxide–semiconductor FET transistor , up to sev• Heterojunction bipolar transistor, (MOSFET MOSFET),), reflecting its original construction from layeral hundred GHz, common in modern ultraers of metal (the gate), oxide (the insulation), and semifast and RF circuits conductor. Unlike IGFETs, the JFET gate forms a p–n diode with diode with the channel which lies between the source and transistor • Schottky transistor drain. Functionally, this makes the n-channel JFET the • Avalanche transistor solid-state equivalent of the vacuum tube triode triode which, which, similarly, forms a diode between its grid and cathode and cathode.. transistors are are two BJTs connected • Darlington transistors Also, both devices operate in the depletion mode , they together to provide a high current gain equal both have a high input impedance, and they both conduct to the product of the current gains of the two current under the control of an input voltage. transistors.
30
CHAPTER 4. TRANSISTOR TRANSISTOR
transistor (ITFET) • Inverted-T field-effect transistor (ITFET) FinFET,, sourc source/d e/drai rainn regio regionn shape shapess fins fins on the • FinFET
Transi Transisto storr symb symbol ol draw drawnn on Portuguese Portuguese pavement pavement in the the University of Aveiro. Aveiro.
• Insulated Insulated-gate -gate bipolar transistors (IGBTs) transistors (IGBTs) use
a medium-power IGFET, similarly connected to a pow power BJT, JT, to giv give a high high inp input impedance. Power diodes are often connected between certain terminals depending on specific use. IGBTs are particularly particularly suitable for for heavy-duty industrial industrial applications. applications. The Asea The Asea Brown Boveri (ABB) Boveri (ABB) 5SNA2400E170100 illustrate lustratess just how far power power semicon semiconducduc[40] tor technology has advanced. Intended for three-phase power supplies, this device houses three n–p–n IGBTs in a case measuring 38 by 140 by 190 mm and weigh weighing ing 1.5 kg. Each Each IGBT is rated at 1,700 volts and can handle 2,400 amperes. • Photo transistor • Multiple-emitter transistor, transistor , used in transistor– in transistor– transistor logic Multiple-base transistor transistor,, used to amplify very• Multiple-base low-le low-leve vell signal signalss in noisy noisy environ environmen ments ts such such as the pickup of a record a record player or radio or radio front ends.. Effecti ends Effectivel vely, y, it is a very large large number number of transistors in parallel where, at the output, the signal is added constructively, but random noise is added only stochastically only stochastically..[41]
• Field-effect transistor • Carbon nanotube field-effect transistor (CN-
FET), where the channel material is replaced by a carbon nanotube. JFET, where the gate is insulated by a reverse• JFET, biased p–n junction MESFET,, similar to JFET with a Schottky • MESFET junction instead of a p–n junction High gh-e -ele lect ctro ronn-mo mobi bilility ty tran tr ansi sist stor or • Hi (HEMT, HFET, MODFET) MOSFET,, where the gate is insulated by a • MOSFET shallow layer of insulator
silicon surface. FREDFET, fast-reverse epitaxial diode field• FREDFET, effect transistor transistor, in LCDs. • Thin-film transistor, Organic fie field-e ld-effe ffect ct trans transisto istorr (OFET) (OFET),, in • Organic which the semiconductor is an organic compound transistor • Ballistic transistor transistor, for non-volatile stor• Floating-gate transistor, age. environment • FETs used to sense environment • Ion-sensitive field effect transistor (IFSET), to measure ion concentrations in solution. EOSFET,, electrolyte-oxide• EOSFET semic semicon onduc ductor tor field field-eff -effec ectt trans transis istor tor (Neurochip Neurochip)) • DNAFET DNAFET,, deoxyribonucleic acid fieldeffect transistor transistor . • Tunnel field-effect transistor.
TFET TFETss swi switc tchh by by modulating quantum tunnelling through a barrier.
• Diffusion transistor, transistor, formed by diffusing dopants into semiconductor substrate; can be both BJT and FET
transistors can be used as simple pulse • Unijunction transistors can
generators. They comprise a main body of either Ptype or N-type semiconductor with ohmic contacts at each each end end (termi (terminal nalss Base1 and Base2). A junction junction with the opposite semiconductor type is formed at a point along the length of the body for the third terminal (Emitter ).).
Single-electron transistors (SET (SET)) cons consis istt of a gate gate isis• Single-electron land between two tunneling junctions. The tunneling current is controlled by a voltage applied to the gate through a capacitor. capacitor.[42]
Nanofluidic ic • Nanofluid
transistorr, cont transisto contro rols ls the the move moveme ment nt of ions ions throu through gh sub-m sub-mic icros rosco copi pic, c, water water-fil -fille ledd [43] channels.
• Multigate devices • Tetrode transistor • Pentode transistor transistors (Prototype (Prototype by Intel) • Trigate transistors • Dual-gate FETs have a single channel with
two gates in cascode; cascode; a configuratio configurationn optimized for high-frequency amplifiers , mixers, and oscillators and oscillators..
31
4.6. PART PART NUMBERING NUMBERING STANDARDS STANDARDS / SPECIFICA SPECIFICATION TIONS S
Junctionless nanowire transistor (JNT), Junctionless transistor (JNT), uses a sim- 4.6.3 4.6.3 Joi Joint nt Electro Electron n Devices Devices Engine Engineeri ering ng ple nanowire of silicon surrounded by an electrically Council Council (JEDEC) isolated “wedding “wedding ring” that acts to gate the flow of electrons through the wire. The JEDEC The JEDEC EIA370 transistor device numbers usually start with “2N”, indicating indicating a three-terminal device device (dualgate field-effect gate field-effect transistors transistors are are four-terminal devices, so • Vacuum-c Vacuum-channel hannel transistor transistor:: In 2012, 2012, NASA NASA and the National Nanofab Center in South Korea were re- begin with 3N), then a 2, 3 or 4-digit sequential numported to have built a prototype vacuum-channel ber with no significance as to device properties (although transistor in only 150 nanometers in size, can be early devices with low numbers tend to be germanium). example 2N3055 is is a silicon n–p–n power transistor, manufactured cheaply using standard silicon semi- For example 2N3055 germanium switching switching transistor. A conductor processing, can operate at high speeds 2N1301 is a p–n–p germanium sometimes used to indicate indicate a even in hostile environments, and could consume letter suffix (such as “A”) is sometimes [44] newer variant, but rarely gain groupings. just as much power as a standard transistor.
•
• Organic electrochemical transistor 4.6 4.6
Part art num numberi bering ng stan standa darrds / specifications
The types of some transistors can be parsed from the part number. There are three major major semiconductor semiconductor naming standards; in each the alphanumeric prefix provides clues to type of the device.
4.6.1
Japanese Japanese Industrial Industrial Standard Standard (JIS)
The JIS-C-7012 specification specification for transistor part numbers starts starts with with “2S”, “2S”,[45] e.g. 2SD965, 2SD965, but sometime sometimess the “2S” prefix is not marked on the package – a 2SD965 might only be marked “D965"; a 2SC1815 might be listed by a supplier as simply “C1815”. This series sometimes has suffixes suffixes (such (such as “R”, “O”, “BL”... “BL”... standing standing for “Red”, “Orange”, “Blue” etc.) to denote variants, such as tighter hFE (gain) groupings.
4.6.4 4.6.4
Manufacturers of devices may have their own proprietary numbering system, for example CK722 CK722.. Since Since device devicess are second-sourced are second-sourced,, a manufacturer’s prefix (like “MPF” in MPF102, which originally would denote a Motorola FET)) now is an unreliable indicator of who made the FET device. device. Some proprietary proprietary naming schemes schemes adopt parts of other naming schemes, for example a PN2222A is a (possibly Fairchild (possibly Fairchild Semiconductor) Semiconductor ) 2N2222A in a plastic case (but a PN108 is a plastic version of a BC108, not a 2N108, while the PN100 is unrelated to other xx100 devices). Military part numbers sometimes are assigned their own codes, such as the British the British Military CV Naming System. System . Manuf Manufac actur turer erss buyin buyingg large large numbe numbers rs of simil similar ar parts parts may may have them supplied with “house numbers”, identifying a particular purchasing specification and not necessarily a device with a standardized registered number. For example, an HP part 1854,0053 is a (JEDEC) 2N2218 transistor[48][49] which is also assigned the CV number: CV7763[50] 4.6.5 4.6.5
4.6. 4.6.2 2
Propri Proprieta etary ry
Naming Naming proble problems ms
schemes, and the abEuro Europe pean an Elec Electr tron onic ic Comp Compon onen entt With so many independent naming schemes, breviation of part numbers when printed on the devices, devices, Manufacturers Association (EECA) breviation
The Pro Elec Electron tron standard, standard, the European European Electroni Electronicc Component Manufacturers Association part numbering scheme, scheme, begins with two letters: the first gives the semiconductor type (A for germanium, B for silicon, and C for materials like GaAs); the second letter denotes the intended use (A for diode, C for general-purpose transistor, sistor, etc.). A 3-digit 3-digit sequence sequence number number (or one letter letter then 2 digits, for industrial types) follows. With early devices this indicated the case type. Suffixes may be used, with a letter (e.g. “C” often means high hFE, such such as in: [46] BC549C ) or other other codes codes may may follo ollow w to show show gain gain (e.g. (e.g. [47] BC327-25) BC327-25) or voltage rating rating (e.g. BUK854-800A BUK854-800A ). The more common prefixes are:
ambiguity ambiguity sometimes occurs. For example two different devices may be marked “J176” (one the J176 low-power Junction FET Junction FET,, the other the higher-powered MOSFET 2SJ176). As older “through-hole” transistors are given surfacemount packaged mount packaged counterparts, they tend to be assigned many different part numbers because manufacturers have their own systems to cope with the variety in pinout pinout ar arrange ran geme ments nts and optio options ns for dual dual or match matched ed n–p–n+ n–p–n+p–n p–n–– p devices in one pack. So even when the original device device (such as a 2N3904) may have been assigned by a standards authority, and well known by engineers over the years, the new versions are far from standardized in their naming.
32
CHAPTER 4. TRANSISTOR TRANSISTOR
4.7
Cons Constru truct ctio ion n
4.7.1
Semicondu Semiconductor ctor material material
The first BJTs were made from germanium from germanium (Ge). (Ge). Silicon Silicon (Si) types currently predominate but certain advanced microwave microwave and high-performance high-performance versions now employ employ the compound semiconductor material gallium material gallium arsenide (GaAs) and the semiconductor alloy silicon germanium (SiGe). Single element semiconductor material (Ge and Si) is described as elemental . Rough parameters for the most common semiconductor materi material alss used used to make make trans transis istor torss are give givenn in the table table to the right; right; these these parameter parameterss will vary with increas increasee in temtemperature, electric field, field, impurity level, level, strain, and sundry other factors. The junction forward voltage is the voltage applied to the emitter–base junction of a BJT in order to make the base conduc con ductt a specifie specifiedd curr current. ent. The current current increas increases es exponentially as the junction forward voltage is increased. The values given in the table are typical for a current of 1 mA (the same values apply to semiconductor diodes). The lower the junction forward voltage the better, as this means that less power is required to “drive” the transistor. The junction forward voltage for a given current decreas creases es with with incr increas easee in tempe temperat rature ure.. For For a typic typical al sili silico conn junction the change is −2.1 mV/°C. [51] In some circuits special compensating elements (sensistors ( sensistors)) must be used to compensate for such changes. The density of mobile carriers in the channel of a MOSFET is a function of the electric field forming the channel and of various other phenomena such as the impurity level in the channel. Some impurities, called dopants, are introduced deliberately in making a MOSFET, to control the MOSFET electrical behavior. The electron mobility and hole mobility columns show the average speed that electrons and holes diffuse through the semiconductor material with an electric an electric field of field of 1 volt per meter applied applied across the material. In general, the higher the electron mobility the faster the transistor can operate. The table indicates indicates that Ge is a better material than Si in this respect. However, Ge has four major shortcomings compared to silicon and gallium arsenide: limited; • Its maximum temperature is limited; high leakage current; current; • it has relatively high leakage • it cannot withstand high voltages; • it is less suitable for fabricating integrated circuits. Because the electron mobility is higher than the hole mobility for all semiconductor materials, a given bipolar n– p–n transistor tends transistor tends to be swifter than an equivalent p– n–p transistor. transistor. GaAs has the highest electron mobility of the three semiconductors. semiconductors. It is for this reason that GaAs
is used in high-frequenc high-frequencyy applications. applications. A relatively relatively recent FET development, the high-electron-mobility transistor (HEMT ( HEMT), ), has a heterostruc heterostructure ture (junction (junction between different semiconductor materials) of aluminium gallium arsenide (AlGaAs)-gallium arsenide (GaAs) which which has twice the electron mobility of a GaAs-metal barrier junction junction.. Because Because of their high speed speed and low noise, noise, HEMTs HEMTs are used used in satel satellilite te recei receive vers rs worki working ng at frequen requen-cies cies around 12 GHz. HEMTs based based on gallium gallium nitride nitride and aluminium gallium nitride (AlGaN/GaN HEMTs) provide provide a still higher electron electron mobility and are being developed for various applications. Max. junction junction temperature temperature values represent a cross section taken from various manufacturers’ data sheets. This temperature should not be exceeded or the transistor may be damaged. Al–Si junction junction refers to the high-speed (aluminum– silicon) metal–semiconductor barrier diode, commonly known as a Schottky a Schottky diode. diode. This is incl included uded in the the table because some silicon power IGFETs have a parasitic reverse Schottky diode formed between the source and drain as part of the fabrication process. This diode can be a nuisance, but sometimes it is used in the circuit. 4.7.2 4.7.2
Pack Packag agin ing g
See also: Semiconductor also: Semiconductor package and package and Chip Chip carrier Discrete transistors are individually individually packaged transis-
Assorted discrete transistors
tors. Transistors come in many different semiconductor packages (see (see image) image).. The two two main catego categorie riess are ), and surface-mount , also known through-hole (or leaded ), surface-mount surf ace-mount device as (SMD SMD). ). The ball grid array (BGA BGA)) is the latest latest surfacesurface-moun mountt packag packagee (currentl (currentlyy only only for large integrated circuits). It has solder “balls” on the underside in place of leads. Because they are smaller and have shorter interconnections, SMDs have better highfrequency characteristics but lower power rating. Transistor packages are made of glass, metal, ceramic, or plastic. The package often often dictates the power rating and frequency frequency characteristics characteristics.. Power Power transistors have larger packages packages that can be clamped to heat to heat sinks for sinks for enhanced cooling. Additionally, Additionally, most power power transistors have have the collector or drain physically connected to the metal en-
33
4.10. REFERE REFERENCES NCES
closure. At the other extreme, some surface-mount microwave transistors are as small as grains of sand. Often a given transistor type is available in several packages. Transistor Transistor packages packages are mainly standardized, standardized, but the assignment of a transistor’s functions to the terminals is not: other transistor types can assign other functions to the packag package’s e’s terminals. terminals. Even Even for the same transistransistor type the terminal assignment assignment can vary (normall ( normallyy indicated by a suffix letter to the part number, q.e. BC212L and BC212K). Nowadays most transistors come in a wide range of SMT packag packages, es, in compariso comparisonn the list of availa available ble through-h through-hole ole packages is relatively small, here is a short list of the most common common throughthrough-hol holee transisto transistors rs package packagess in alphaalphabetical order: ATV, E-line, MRT, HRT, SC-43, SC-72, TO-3, TO-18, TO-39, TO-92, TO-126, TO220, TO247, TO251, TO262, ZTX851 Flexible transistors
Researchers have made several kinds of flexible transistors, including organic including organic field-effect transistors. transistors .[52][53][54] Flexible transistors are useful in some kinds of flexible displays and displays and other flexible other flexible electronics. electronics .
LM394:: “supermatch pair”, with two n–p–n BJTs • LM394 on a single substrate. substrate.
2N2219A//2N2905A 2N2905A:: • 2N2219A
BJT, BJT, general ral purpo urpose se,, medium power, power, complementary complementary pair. With metal cases they are rated at about one watt.
2N3055//MJ2955 MJ2955:: For For years years,, the n–p–n n–p–n 2N3055 2N3055 has • 2N3055 been the “standard” “standard” power transistor. transistor. Its complement, ment, the p–n–p MJ2955 arrive arrivedd later. These These 1 MHz, 15 A, 60 V, 115 W BJTs are used in audiopower amplifiers, power supplies, and control.
• 2SC3281/2SA1302: Made by Toshiba Toshiba,, these BJTs have low-distortion characteristics and are used in high-po high-power wer audio audio amplifier amplifiers. s. They They have have been widel widelyy counterfeited .
• BU508: BU508: n–p–n, 1500 V power BJT. Designed for
television horizontal deflection, its high voltage catelevision horizontal pability also makes it suitable for use in ignition systems.
• MJ11012/MJ11015 MJ11012/MJ11015::
30 A, 120 120 V, 200 W, high high power Darlington complementary pair BJTs. Used in audio amplifiers, control, and power switching.
2N5457//2N5460 2N5460:: JFET JFET (depletion (depletion mode), general • 2N5457 purpose, low power, complementary pair.
4.8 4.8
See also also
• Band gap • Digital electronics • Moore’s law • Semiconductor device modeling • Transisto Transistorr count • Transistor model • Transresistance • Very-large-scale integration 4.9
(enhancement • BSP296/BSP171: IGFET (enhancement
mode), medium power, near complementary pair. Used for logic level conversion and driving power transistors in amplifiers.
IRF3710//IRF5210 IRF5210:: IGFET (enhancement IGFET (enhancement mode), • IRF3710 40 A, 100 V, 200 W, near complementary pair. For high-power amplifiers and power switches, especially in automobiles.
4.10 4.10
Ref Referen erence cess
[1] "Milestones:Invention of the First Transistor at Bell Telephone Laboratories, Inc., 1947”. 1947”. IEEE IEEE Global History Network . IEEE. Retrieved 7 December 2014.
Direct Directory ory of of exter external nal webs website itess [2] “The Nob Nobel elPri Prize ze in Phy Physi sics cs 195 1956” 6”.. Nobelprize.org. Nobel Media AB. Retrieved 7 December 2014. with datasheets
• 2N3904 2N3904//2N3906 2N3906,,
BC182/ BC182/BC212 and BC546/BC556 BC546/ BC556:: Ubiquitous, BJT, general-purpose, low-power, complementary pairs. They have plastic cases and cost roughly ten cents U.S. in small quantities, quantities, making them popular with hobbyists. hobbyists.
[3] Vardalas, John, Twists John, Twists and Turns in the Development of the Transistor IEEE-USA Today’s Engineer , May 2003. [4] Lilienfeld, Lilienfeld, Julius Edgar, “Method and apparatus for for controlling electric current” U.S. current” U.S. Patent 1,745,175 January 1,745,175 January 28, 1930 (filed (filed in Canada Canada 1925-10-22, 1925-10-22, in US 1926-101926-1008).
AF107:: • AF107
German Germaniu ium, m, 0.5 watt, watt, 250 MHz p–n–p p–n–p
[5] “Method And Apparatus For Controlling Electric Currents”.. United States Patent and Trademark Office. rents”
BFP183: • BFP183:
Low-pow Low-power, er, 8 GHz microwa microwave ve n–p–n
[6] “Amplifier For Electric Currents”. Currents”. United United States States Patent Patent and Trademark Office.
BJT. BJT.
34
CHAPTER 4. TRANSISTOR TRANSISTOR
[7] “Device For Controlling Controlling Electric Current”. Current”. United States [26] [26] W. Heywang Heywang,, K. H. Zainin Zaininge ger, r, “Sili “Silicon con:: The SemiSemiPatent and Trademark Office. conductor Material”, Silicon: Silicon: evolution evolution and future future of a technology (Editor (Editors: s: P. Siffert, Siffert, E. F. Krimmel), Krimmel), p.36, [8] “Twists and Turns in the Development of the Transistor” Transistor”.. Springer, 2004 ISBN 2004 ISBN 3-540-40546-1. 3-540-40546-1. Institute of Electrical and Electronics Engineers, Inc. [27] [27] Robert Robert W. Price Price (2004) (2004).. Roadm Roadmap ap to Entr Entrepre epreneur neurial ial Suc[9] Heil, Oskar, “Improvements in or relating to electrical cess. AMACOM AMACOM DivAmeri Div American can Mgmt Assn. p. 42. 42. ISBN amplifiers and other control arrangements and devices”, devices”, 978-0-8144-7190-6.. 978-0-8144-7190-6 Patent Patent No. GB439457, GB439457, European Patent Patent Office, filed in Great Britain 1934-03-02, published December 6, 1935 [28] "Milestones:Invention of the First Transistor at Bell Tele(originally (originally filed in Germany 1934-03-02). phone Laboratories, Inc., 1947”. 1947”. IEEE IEEE Global History Network . IEEE. Retrieved August 3, 2011. [10] “Nov “Novembe emberr 17 – December December 23, 1947: Inve Inventio ntionn of the First Transistor”. Transistor”. American Physical Physical Society.
[29] FETs/MOSFETs: FETs/MOSFETs: Smaller Smaller apps push up surf surface-m ace-mount ount supply [11] Bell Laborat Laboratorie oriess (1983). S. Millman, Millman, ed. A History of Engineering and Science in the Bell System, Physical Sci- [30] "ATI "ATI and Nvidia face off.” off.” October 7, 2009. Retrieved on ence (1925-1980). AT&T Bell Laboratories. p. 102. February 2, 2011. [12] David Bodanis (2005). Electric Universe . Crown PublishPublish- [31] Jim Turle Turley. y. “The “The Two Percent Solution” 2002. Solution” 2002. ers, New York. ISBN York. ISBN 0-7394-5670-9. 0-7394-5670-9. [32] Kaplan, Kaplan, Daniel Daniel (2003). (2003). Hands-On Hands-On Electronics. New York: York: [13] “transistor”. American Heritage Heritage Dictionary (3rd ed.). Cambridge Cambridge University University Press. pp. 47–54, 60–61. ISBN Boston: Houghton Mifflin. 1992. 978-0-511-07668-8.. 978-0-511-07668-8 [14] “The Nobel Prize in Physics Physics 1956”. 1956”. [33] apart from a small value value due to leakage leakage currents [15] “1948 - The European Transistor Invention”. Invention”. Computer [34] John Keane and Chris H. Kim, “Transistor Kim, “Transistor Aging,” IEEE History Museum. Spectrum (web feature), April 25, 2011. [16] W.E. Bradley Bradley (December (December 1953). “The SurfaceSurface-Barri Barrier er Veen, M. (2005). “Universal (2005). “Universal system and output Transistor: Part I-Principles of the Surface-Barrier Tran- [35] van der Veen, transformer for valve amplifiers” (PDF). amplifiers” (PDF). 118th AES Consistor”. Proceedings of of the IRE 41 (12): (12): 1702–1706. 1702–1706. . vention, Barcelona, Spain doi::10.1109/JRPROC.1953.274351 doi 10.1109/JRPROC.1953.274351.. Example”. 071003 bcae1.com [17] Wall Street Journal, December 4, 1953, page 4, Article [36] “Transistor Example”. “Philco Claims Its Transistor Outperforms Others Now In [37] Streetman, Ben (1992). Ben (1992). Solid State Electronic Devices. Use” Englewood Cliffs, Cliffs, NJ: Prentice-Hall. pp. 301–305. ISBN 301–305. ISBN 0-13-822023-9.. 0-13-822023-9 [18] Electronics magazine, January 1954, Article “Electroplated Transistors Announced” [38] Horowitz Horowitz,, Pau Paull; Winfiel Winfieldd Hill (1989). The Ar Artt ofElect ofElectron ron-[19] Wall Street Street Journal, “Chrysler Promises Car Car Radio With ics (2nd ed.). Cambridge University Press. p. 115. ISBN Transistors Instead of Tubes in '56”, April 28, 1955, page 0-521-37095-7.. 0-521-37095-7 1 [39] W. M. C. Sansen Sansen (2006). (2006). Analog design essentials . New [20] Los Angeles Times, May 8, 1955, page A20, Article: York ; Berlin: Springer. p. §0152, p. 28. ISBN 0-387“Chrysler Announces New Transistor Radio” 25746-2.. 25746-2 [21] Philco TechRep Division Division Bulletin, Bulletin, May–June 1955, Vol- [40] “IGBT Module 5SNA 2400E170100” (PDF). 2400E170100” (PDF). Retrieved ume 5 Number 3, page 28 June 30, 2012. [22] Saul Rosen (Jun Rosen (Jun 1991). PHILCO: Some Recollections [41] Zhong Yuan Chang, Willy M. C. Sansen, Low-Noise of the PHILCO TRANSAC S-2000 (Computer S-2000 (Computer Science Wide-Ban Wide-Bandd Amplifi Amplifiers ers in Bipolar Bipolar and CMOS CMOS Technol Technologies ogies, Technical Reports / Purdue e-Pubs) (CSD-TR-91-051). page 31, Springer, 1991 ISBN 1991 ISBN 0792390962. 0792390962. Purdue University. Here: page 2 [42] “Single Electron Transistors”. Transistors”. Snow.stanf Snow.stanford.e ord.edu. du. Re[23] [23] IEEE Spec Spectru trum, m, The The Lost Lost Hist Histor oryy of the the Tran Transi sisstrieved June 30, 2012. tor, tor, Author Author:: Mich Michae aell Riorda Riordan, n, May May 2004, 2004, pp 48-49 | url=http://spectrum.ieee.org/biomedical/devices/ [43] Sanders, Robert (June 28, 2005). “N 2005). “Nanofluidi anofluidicc transistor, the-lost-history-of-the-transistor the basis of future chemical processors”. processors”. Berkeley.edu. Berkeley.edu. Retrieved June 30, 2012. [24] J. Chelikowski, “Introduction: Silicon in all its Forms”, Silicon: evolution and future of a technology (Editors: (Editors: P. [44] The return of the vacuum tube? Siffert, E. F. Krimmel), p.1, Springer, 2004 ISBN 2004 ISBN 3-540[45] “Clive TEC Transistors Japanese Industrial Standards”. Standards”. 40546-1.. 40546-1 Clivetec.0catch.com. Clivetec.0catch.com. Retrieved June 30, 2012. [25] Grant McFarland, Micropr Microprocess ocessor or design: a practical practical guide from design planning to manufacturing manufacturing, p.10 p.10,, [46] “Datasheet for BC549, with A,B and C gain groupings” McGraw-Hill Professional, Professional, 2006 ISBN 2006 ISBN 0-07-145951-0. 0-07-145951-0. (PDF). Retrieved June 30, 2012.
35
4.12. EXTERNAL EXTERNAL LINKS [47] “Datasheet for BUK854-800A (800volt IGBT)" (PDF). IGBT)" (PDF). Retrieved June 30, 2012.
4.12 4.12
Exte Extern rnal al link linkss
[48] “Richard Freeman’s HP Part numbers Crossreference”. Crossreference”. Hpmuseum.org. Retrieved June 30, 2012.
CK722 722 Mus Museum eum.. Website ebsite devot devoted ed to the “class “classic” ic” • The CK
[49] Transistor–Diode Cross Reference – H.P. Part Numbers to JEDEC (pdf)
• The Transistor Educational content from Nobel-
[50] “CV Devi Device ce Cross Cross-ref -referen erence ce by Andy AndyLak Lake” e”.. Qsl.net. Retrieved June 30, 2012.
digital age photo age photo history of tran• BBC: Building the digital
[51] A.S. Sedra and K.C. Smith Smith (2004). Microelectronic cirN ew York: Oxford University University Press. pp. cuits (Fifth ed.). New 397 and Figure 5.17. ISBN 5.17. ISBN 0-19-514251-9. 0-19-514251-9. [52] Jhonathan P. Rojas, Rojas, Galo A. Torres Sevilla, Sevilla, and Muhammad M. Hussain. “Can We Build a Truly High Performance Computer Which is Flexible F lexible and Transparent?". Transparent?". [53] Kan Zhang, Jung-Hun Seo1, Weidong Zhou and Zhenqiang Ma. “Fast flexible electronics using transferrable silicon nanomembranes”. nanomembranes”. 2012. [54] Lisa Zyga. “Carbon Zyga. “Carbon nanotube transistors could lead to inexpensive, flexible electronics”. electronics”. 2011.
4.11 4.11
Furth Fu rther er read readin ing g
Principles ofTra of Trann• AmosSW&JamesMR(1999). Principles
sistor Circuits . Butterworth-Heinemann. Butterworth-Heinemann. ISBN 0-
7506-4427-3.. 7506-4427-3
• Bacon, W. Stevenson (1968). “The
Transistor’s 20th Anniversary: How Germanium And A Bit of Wire Changed The World”. World” . Bonnier Corp.: Popu-
lar Science, retrieved from Google Books 2009-03Books 2009-0322 (Bonnier Corporation) 192 (6): (6): 80–84. 80–84. ISSN
0161-7370.. 0161-7370
Paul & Hill, Winfield (1989). The Art of • Horowitz, Paul & Electronics. Cambridge University Press. ISBN 0-
521-37095-7.. 521-37095-7
• Rior Riordan, dan,
Michae Michaell & Hoddeson Hoddeson,, Lillian Lillian (1998). (1998). Crystal Fire. W.W Norton Norton & Company Company Limite Limited. d. ISBN 0-393-31851-6. 0-393-31851-6 . The invention invention of the transistor & the birth of the information age
• Warnes, Lionel (1998). Analogue and Digital Elec-
tronics. Macmillan Press Ltd. ISBN 0-333-65820-
5.
• “Herbert F. Mataré, An Inventor of the Transistor has his moment”. moment”. The New York Times. February 24, 2003.
• Michael Riordan (2005).
“How Eur Europe ope Missed Missed the Transistor”. Transistor”. IEEE Spectrum 42 (11): (11): 52–57. 52–57. doi::10.1109/MSPEC.2005.1526906 doi 10.1109/MSPEC.2005.1526906..
• C. D. Renmore (1980). ISBN 0-8253-0022-3. 0-8253-0022-3 .
Silicon Silicon Chips and You You.
• Wiley-IEEE Press. Complete Guide to Semiconductor Devices, 2nd Edition .
hobbyist germanium transistor
prize.org sistors
• The Bell Systems Memorial on Transistors • IEEE Global History Network, The Transistor and Portable Electronics . All about the history of tran-
sistors and integrated circuits.
• Transistorized . Historical and technical information from from the Public the Public Broadcasting Service
• This Month in Physics History: November 17 to De-
cember 23, 1947: Invention of the First Transistor . Transistor . From the American the American Physical Society
• 50 Year earss of the Tr Trans ansist istor or . From Science Frida Fridayy , December 12, 1997
Pinouts
transistor pinouts • Common transistor Datasheets
• Charts showing many characteristics and links to
most datasheets for 2N for 2N,, 2SA 2SA,, 2SB 2SB.. 2SC 2SC,, 2SD 2SD,, 2SHK, and other and other numbers. numbers.
Discrete rete • Disc
Databook Datab ook (Hist (Historic orical al 1978) 1978),, Natio National nal Semiconductor (now Texas Instruments)
• Discrete Databook (Historical 1982), 1982) , SGS (now STMicroelectronics)
Smallll-Si Signa gnall • Sma
Trans Tra nsis istor tor Dat Databo abook ok (Hi (Histo stori rical cal 1984),, Motorola 1984)
• Discrete Databook (Historical (Historical 1985), 1985), Fairchild
Chapter 5
Capacitor This article is about about the electronic electronic component. For the physical phenomenon, see capacitance capacitance.. For an overview of various kinds of capacitors, capacitors, see types see types of capaci capacitor tor.. “Capacitive” redirects here. For the term used when referring to touchscreens, see capacitive see capacitive sensing. sensing . A capacitor (originally known as a condenser) is a
4 electrolytic capacitors of different different voltages and capacitance
Miniature low-voltage capacitors (next to a cm ruler)
Solid electrolyte, resin-dipped 10 μF 35 V tantalum tantalum capacitors. capacitors. The + sign indicates the positive lead.
A typical electrolytic electrolytic capacitor
passive two-terminal electrical component used passive component used to store energy electrostatically in electrostatically in an electric an electric field. field . The forms of practical capacitors vary widely, but all contain at least two elec electrical trical conduc conductors tors (plate (plates) s) separa separated tedby by a dielectric (i.e. insulator). insulator). The conductors conductors can be thin films, foils foils
or sintered beads of metal or conductive conductive electrolyte, electrolyte, etc. The nonconducting dielectric acts to increase the capacitor’s charge capacity. A dielectric can be glass, ceramic, plastic film, air, vacuum, paper, mica, oxide layer etc. Capacitors are widely used as parts of electrical circuits in many common electrical devices. Unlike a resistor resistor,, an ideal capacitor does not dissipate energy. Instead, a capacitor stores energy stores energy in in the form of an electrostatic an electrostatic field between its plates. Whenthereisa potential diff difference erence across across the conducto conductors rs (e.g., when a capacitor is attached across a battery), an 36
5.2. THEOR THEORY OF OPERATION OPERATION
37
electric field develops field develops across the dielectric, causing positive charge tive charge + +Q to to collect on one plate and negative charge −Q to to collect collect on the other other plate. If a battery battery has been attached to a capacitor for a sufficient amount of time, no current can flow through the capacitor. capacitor. However, However, if a time-varying voltage is applied across the leads of the capacitor, a displacement a displacement current can current can flow. An ideal capacitor is characterized by a single constant value for its capacitance its capacitance.. Capacitance is expressed as the ratio of the electric charge Q on each conductor to the potential difference V between between them. The SI The SI unit unit of capacitance is the farad the farad (F), (F), which is equal to one coulomb one coulomb per volt per volt (1 (1 C/V). Typical capacitance values range from about 1 pF (10−12 F) to about 1 mF (10 −3 F). The capacitance is greater when there is a narrower separation between conductors and when the conductors have a larger surface area. In practice, the dielectric between the plates passes a small amount of leakage current and current and also has an electric field strength limit, known as the breakdown voltage. voltage. The conductors and leads and leads introduce introduce an undesired inductance undesired inductance and and resistance resistance.. Capacitors are widely used in electroni electronicc circu circuits its for blocking direct blocking direct current while current while allowing alternating allowing alternating current to pass. In analog In analog filter networks, filter networks, they smooth the output Battery of four Leyden Leyden jars in jars in Museum Museum Boerhaave , Leiden , Leiden , the of power of power supplies. supplies. In resonant In resonant circuits they circuits they tune radios tune radios Netherlands to particular frequencies particular frequencies.. In electric In electric power transmission systems, they stabilize voltage and power flow. [1] mouth to prevent arcing between between the foils. The earliest earliest unit of capacitance capacitance was the jar the jar,, equivalent to about 1.11 nanofarads..[8] nanofarads 5.1 5.1 Histo istory ry Leyden Leydenjars jars or morepow more powerf erful ul device devicess employ employing ing flat glass glass plates alternating with foil conductors were used excluIn October 1745, Ewald 1745, Ewald Georg von Kleist of Kleist of Pomerania Pomerania,, Germany, found that charge could be stored by connect- sively up until about 1900, when the invention of wireless radio)) created a demand for standard capacitors, and ing a high-voltage electrostatic high-voltage electrostatic generator by generator by a wire to a (radio [2] steady move to higher frequencies higher frequencies required required capacitors volume of water in a hand-held glass jar. Von Kleist’s the steady with lower lo wer inductance. inductance . More compact comp act construc con struction tionmeth meth-hand and the water acted as conductors, and the jar as a dielectric (although dielectric (although details of the mechanism were incor- ods began to be used, such as a flexible dielectric sheet rectl rectlyy ident identifi ified ed at the time). time). Von Klei Kleist st foun oundd that that touch touch-- (like oiled paper) sandwiched between sheets of metal ing the wire resulted in a powerful spark, much more foil, rolled or folded into a small package. painful than that obtained from an electrostatic machine. Early capacitors were also known as condensers, a term The following year, the Dutch physicist Pieter van Muss- that is still occasionally used today, particularly in high chenbroek inve invente ntedd a simil similar ar capac capacititor, or, whic whichh was was named named power applicatio applications, ns, like automotive automotive systems. The term the Leyden the Leyden jar, jar, after the University the University of Leiden where Leiden where he was first used for this purpose by Alessandro Volta in worked.[3] He also was impressed by the power of the 1782, with reference to the device’s ability to store a shock he received, writing, “I would not take a second higher density of electric charge than a normal isolated shock for the kingdom of France.” [4] conductor. [9] Daniel Gralath was Gralath was the first to combine several jars in parallel into a “battery” to increase the charge charge storage capacity. Benjamin Franklin investigated the Leyden the Leyden jar and came to the conclusion that the charge was stored on the glass, not in the water as others had assumed. He also adopted the term “battery”, [5][6] (denoting the increasing 5.2 Theory Theory of operat operatio ion n ofpowerwitharowofsimilarunitsasina bat batter teryy of can can-non),), subsequently applied to clusters non to clusters of electrochemical [7] cells.. Leyden jars were later made by coating the inside Main article: Capacitance cells article: Capacitance and outside of jars with metal foil, leaving a space at the
38
CHAPTER 5. CAPACITOR CAPACITOR
Charge +Q
Electric Electric field E
-+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ - + -+ -+ -+ -+ -+
-+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+ -+
-Q dielectric
Plate area A
Plate separation d
An ideal capacitor is wholly characterized by a constant capacitance C , defined as the ratio of charge ±Q on on each conductor conductor to the voltage V between between them:[10] C =
Q V
Because the conductors (or plates) are close together, the opposite charges on the conductors attract one another due to their electric fields, allowing the capacitor to store more charge for a given voltage than if the conductors were separated, giving the capacitor a large capacitance. Sometimes charge build-up affects the capacitor mechanically, causing its capacitance to vary. In this case, capacitance is defined in terms of incremental changes: C =
dQ dV
Charge Charge separation separationin in a parallelparallel-plate platecapac capacitor itor causes causes an internal internal 5.2.2 electric electric field. A dielectric dielectric(ora (orange nge)) reduces reduces the field and increase increasess 5.2.2 the capacitance.
Hydrau Hydraulic lic analog analogy y
In the hydraulic the hydraulic analogy , analogy , a capacitor is analogous to a rubber membrane membrane sealed inside a pipe. This animation animation illustrates illustrates a membrane being repeatedly stretched and un-stretched by the flow of water, which is analogous to a capacitor being repeatedly charged and discharged by the flow of charge.
A simple demonstration of a parallel-plate capacitor
5.2.1 5.2.1
Over Overvi view ew
A capacitor consists of two conductors conductors separated separated by a non-conductive region.[10] The non-conductive region is called the dielectric the dielectric.. In simpler simpler terms, the dielectr dielectric ic is just an electrical an electrical insulator. insulator . Examples of dielectric dielectric media are glass, air, paper, vacuum paper, vacuum,, and even a semiconductor a semiconductor depletion region chemically region chemically identical to the conductors. A capacitor is assumed to be self-contained and isolated, with no net electric net electric charge and charge and no influence from any external electric field. The conductors thus hold equal and opposite charges on their facing surfaces, [11] and the dielectric electric develops develops an electric field. field. In SI SI units, units, a capacitance of one farad one farad means means that one coulomb one coulomb of of charge on each conductor causes a voltage of one volt volt across across the device.[12]
In the hydraulic the hydraulic analogy, analogy , charge carriers flowing through a wire are analogous to water flowing through a pipe. A capacitor is like a rubber membrane sealed inside a pipe. Water molecules cannot pass through the membrane, but some water can move by stretching the membrane. The analogy clarifies a few aspects of capacitors:
• The The current current alters alters the charge the charge on on a capacitor , just as the flow of water changes the position of the membrane. More specificall specifically, y, the effect effect of an electric current is to increase the charge of one plate of the capacitor, and decrease the charge of the other plate by an equal equal amount. amount. This This is just just as when when water water flow moves the rubber membrane, it increases the amount of water on one side of the membrane, and decreases decreases the amount of water on the other side.
The more more a capa capaci cito torr is char charge ged, d, the the larg larger er its its voltage • The drop; i.e., the more it “pushes back” against the
charg charging ing curre current. nt. This This is analogo analogous us to the fac factt that the more a membrane is stretched, the more it pushes back on the water.
• Charge can flow “through” a capacitor even though no individual electron can get from one side to the
39
5.2. THEOR THEORY OF OPERATION OPERATION other. This is analogous to the fact that water can
flow flow throu through gh the pipe pipe even even thoug thoughh no water water mole molecu cule le Q(t) 1 t + V (t0 ) can pass through the rubber membrane. membrane. Of course, V (t) = C = C I (τ )dτ + t the flow cannot continue in the same direction for yields ever; the capacitor will experience dielectric break- Taking the derivative of this and multiplying by C yields [15] down,, and analogously the membrane will eventu- the derivative form: down ally break.
∫
0
The capacitance describes how much charge can dQ(t) dV (t) be stored on one plate of a capacitor for a given I (t) = dt = C dt “push” “push” (voltage (voltage drop). drop). A very stretch stretchy, y, flexible flexible The dual The dual of of the capacitor is the inductor the inductor,, which stores enmembrane corresponds to a higher capacitance than ergy in a magnetic a magnetic field rather field rather than an electric field. Its a stiff membrane. currentcurr ent-volt voltage age relatio relationn is obtained obtained by exchan exchanging ging curr current ent storing potential energy energy,, and voltage in the capacitor equations and replacing C • A charged-up capacitor is storing potential with the inductance L. analogously to a stretched membrane.
•
5.2.3 5.2.3
Energy Energy of electr electric ic field field
5.2.5 5.2.5
DC circ circui uits ts
also: RC circuit Work must be done by an external influence to “move” See also: RC Work must resistor,, a capacitor, a charge between between the conductors in a capacitor. When the A series circuit containing only a resistor external influence is removed, the charge separation persists sists in the elec electri tricc field field anden and ener ergy gy is stored stored to be rele release asedd when the charge is allowed to return to its equilibrium R position. The work done in establishing the electric field, and hence the amount of energy stored, is [13] Q
W =
∫
Q
V (q )dq = =
0
∫ 0
1 Q2 1 1 q dq = = = CV 2 = V Q C 2 C 2 2
Here Q is is the charge stored in the capacitor, V is is the voltage across the capacitor, and C is is the capacitance. In the case of a fluctuating voltage V (t ),), the stored energy also fluctuates and hence power hence power must must flow into or out of the capac capacito itor. r. This This powe powerr can can be foun oundd by taking taking the time derivative of derivative of the stored energy: dW d 1 2 dV = P = CV = C V (t) dt dt 2 dt
�
�
A simple simple resistorresistor-capac capacitor itor circuit circuit demonstra demonstrates tes charging charging of a ca pacitor.
switch and a constant DC source of voltage V 0 is known as a charging circuit .[16] If the capacitor is initially uncharged while the switch is open, and the switch is closed at t 0 , it follows from Kirchhoff’s from Kirchhoff’s voltage law that law that V 0 = v resistor(t) + vcapacitor (t) = i (t)R +
5.2.4
VC
C
V0
Current–volt Current–voltage age relation relation
1 C
t
∫
i(τ )dτ
t0
Taking the derivative and multiplying by C , gives a firsta firstequation : The curr current ent I (t ) throu through gh any any compo compone nent nt in an elec electri tricc circir- order differential equation: cuit is defined as the rate of flow of a charge Q (t ) passing through it, but actual charges—electrons—cannot pass di(t) through the dielectric dielectric layer layer of a capacitor. capacitor. Rather, one RC + i(t) = 0 t d electron accumulates on the negative plate for each one = 0, the voltage across the capacitor is zero and the that leaves the positive plate, resulting in an electron electron de- At t = volta vo ltage ge acros acrosss the resi resisto storr is V 0 . The initia initiall curre current nt is then then pletion and consequent positive charge on one electrode ( 0)=V 0 /R. With this assumpti assumption, on, solving solving the differe differentia ntiall that is equal and opposite to the accumulated negative I (0)= charge on the other. Thus the charge on the electrodes electrodes equation yields is equal to the integral the integral of of the current as well as proportional tional to the voltage voltage,, as discussed discussed above. above. As with any V antiderivative,, a constant antiderivative a constant of integration integration is is added to repre- I (t) = 0 e R sent the initial voltage V (t 0). This is the integral form of V (t) = V 0 1 − e the capacitor equation: [14] −
�
t τ 0
−
t τ 0
�
40
CHAPTER 5. CAPACITOR CAPACITOR
where τ0 = RC is is the time constant of of the system. As the capacito capacitorr reac reaches hes equilib equilibrium rium with the source source voltage, voltage, the volta voltage gess acros acrosss there the resi sisto storr andthe and thecu curre rrent nt throu through gh theenthe entire circui circuitt decay exponen exponentially tially.. The The case case of discharging a charged capacitor likewise demonstrates exponential decay, but with the initial capacitor voltage replacing V 0 and the final voltage being zero. 5.2.6 5.2.6
AC circ circui uits ts
See also: also: reactance (electr (electronics) onics) and elec electrical trical impedance § Deriving the device-specific impedances
X C C =
X = Z =
1 1 =− − ωC 2πf C 1 jω C
=
j j =− − ωC 2πf C
=
V 0 ωCV 0
=
1 ωC
XC approach approaches es zero as ω approaches approaches infinity infinity.. If XC approaches 0, the capacitor resembles a short wire that strongl stronglyy passes current current at high freque frequenci ncies. es. XC approac proache hess infini infinity ty as ω appr approac oache hess zero. zero. If XC appr approac oache hess infinity, infinity, the capacit capacitor or resembl resembles es an open circuit circuit that poorly passes low frequencies. The current of the capacitor may be expressed in the form of cosines to better compare with the voltage of the source: I =
Impedance, the vector sum of reactance Impedance, of reactance and and resistance resistance,, desc describ ribes es the phase phase differ differen ence ce and the ratio ratio of ampli amplitud tudes es between sinusoidally varying voltage and sinusoidally varying current at a given frequency. Fourier analysis allows any signal to be constructed from a spectrum spectrum of of frequencies, whence the circuit’s reaction to the various frequencies may be found. The reactance and impedance of a capacitor are respectively
V 0 I 0
−I 0sin(ωt) = I 0cos(ωt + 90 ) ◦
In this situation, the current is out of phase of phase with with the voltage by +π/2 radians or +90 degrees (i.e., the current will lead the voltage by 90°). 5.2.7
Laplace Laplace circuit circuit analysis analysis (s-domain) (s-domain)
When using the Laplace the Laplace transform in transform in circuit analysis, the impedance of an ideal capacitor capacitor with no initial charge charge is represented in the s domain by:
Z (s) =
1 sC
where j is the imaginary the imaginary unit and unit and ω is the angular the angular fre- where quency of quency of the sinusoidal sinusoidal signal. signal. The − j phase phase indicates that the AC voltage V = ZI lags lags the AC current by 90°: • C is is the capacitance, and the positive current phase corresponds to increasing voltage as the capacitor capacitor charges; zero current corresponds to • s is the complex frequency. instantaneous constant voltage, etc. Impedance decreases with increasing capacitance and in5.2.8 Parall Parallel-p el-plat latee model model creasing frequency. This implies that a higher-frequency 5.2.8 signal or a larger capacitor capacitor results in a lower lower voltage amplitude per current amplitude—an AC “short circuit” or Conductive plates AC coupling. coupling. Conversely, Conversely, for for very low frequencies, frequencies, the reactance will be high, so that a capacitor is nearly an open circui circuitt in AC analysi analysis—th s—those ose freque frequenci ncies es have have been A “filtered out”. d Capacitors are different from resistors and inductors in that the impedance is inversely proportional to the defining characteristic; i.e., capacitance i.e., capacitance.. A capacitor connected to a sinusoidal sinusoidal voltage source will cause a displacemen displacementt current to flow through it. it. In the case that the voltage source is V 0 cos(ωt), the displaceDielectric ment current can be expressed as: dV = dt
−ωC V 0 sin(ωt) At sin(ωt) = −1, the capacitor has a maximum (or peak) I = C
Dielectric is placed between two conducting plates, each of area
A and with a separation of d d
current whereby I 0 = ωCV0. The ratio of peak voltage voltage to The simplest capacitor consists of two parallel conducpeak current is due to capacitive to capacitive reactance (denoted reactance (denoted XC). tive plates separated by a dielectric (such as air) with
41
5.2. THEOR THEORY OF OPERATION OPERATION
permittivity ε . The model model may may also be used to make make qualitative qualitative predictions predictions for other device geometries. The plates are considered to extend uniformly over an area A and a charge density ±ρ = ± Q /A exists on their surface. Assumi Assuming ng that that thewi the width dthof of theplates theplates is muc muchh greate greaterr than than their separation d , the electric field near the centre of the device device will be uniform with the magnitude E = = ρ/ε. The voltage is defined as the line the line integral of integral of the electric field between the plates d
V =
∫ 0
d
E d dz =
∫ 0
ρ ρd Qd d z = = ε ε εA
Solving this for C = Q /V reveals that capacitance increases with area of the plates, and decreases as separation between plates increases. C = =
εA d
The capacitance is therefore greatest in devices made from from materials with a high permittivity, permittivity, large plate area, and small distance between plates. A parallel plate capacitor can only store a finite amount of energy before dielectric before dielectric breakdown occurs occurs.. The cacapacitor’s dielectric material has a dielectric strength U which sets the capacitor’s the capacitor’s breakdown voltage at voltage at V = = V = U d . The maximum energy that the capacitor capacitor can store is therefore 1 1 εA 1 E = = CV 2 = (U d d)2 = εAdU d2 2 2 d 2
C 1 C 2
C n
Several capacitors in parallel For capacitors in parallel Capacitors in a parallel con-
figuration each have the same applied voltage. Their capacitances capacitances add up. Charge is apportioned among them by size. Using the schematic diagram diagram to visualize alize parall parallel el plates plates,, it is appare apparent nt that that each each capac capacito itorr contributes to the total surface area.
C eq eq = C 1 + C 2 +
· · · + C
n
For capacitors in series
C 1
C 2
C n
We see that the maximum energy is a function of di- Several capacitors in series electric volume, permittivity volume, permittivity,, and dielectric and dielectric strength per strength per distance. So increasing the plate area while while decreasing Connected in series, the schematic diagram rethe separation between the plates while maintaining the veals that the separation distance, not the plate same volume has no change on the amount of energy the area, area, adds adds up. Theca The capac pacito itors rs eac eachh store store instan instan-capacitor capacitor can store. Care must be taken when when increasincreastaneous charge build-up equal to that of every ing the plate separation so that the above assumption of other capacitor in the series. The total voltage the distance between plates being much smaller than the difference from end to end is apportioned to area of the plates is still valid for these equations to be each capacitor according to the inverse of its accurate acc urate.. In addition, addition, these equations equations assume assume that the capacitance. capacitance. The entire series acts as a capacelectric field is entirely concentrated in the dielectric beitor smaller than than any of its components. tween the plates. In reality there are fringing fields outside the dielectric, for example between the sides of the capacitor plates, which will increase the effective capacitance of the capacitor. This could be seen as a form of 1 1 1 1 parasitic capacitance. capacitance. For some simple capacitor capacitor geome= + + ··· + C eq C 1 C 2 C n eq tries this additional capacitance term can be calculated analytically. [17] It becomes negligibly negligibly small when the raCapacitors are combined in series to achieve tio of plate area to separation is large. a higher higher working working voltage voltage,, for for exampl examplee for for smoothing a high voltage voltage power power supply. supply. The voltage ratings, which are based on plate sep5.2.9 5.2.9 Netw Networ orks ks aration, add up, if capacitance and leakage See also: Series also: Series and parallel circuits currents curr ents for for eac eachh capacitor capacitor are identic identical. al. In such an application, on occasion, series strings
42
CHAPTER 5. CAPACITOR CAPACITOR
are connected in parallel, forming a matrix. The goal is to maximize the energy storage of the network without overloading any capacitor. For high-energy high-energy storage with capacitors in series, some safety considerations must be applied to ensure one capacitor failing and leaking current will not apply too much voltage to the other series capacitors. Serie Ser iess conne connecti ction on is also also someti sometime mess used used to adapt polarized electrolytic capacitors for bipola polarr AC us use. See See electrolytic electrolytic capacitor#Designing for reverse bias. bias. Voltage distribution in parallel-to-series networks.
To model the distribution of voltages from a single charged capacitor (A) connected in parallel to a chain of capacitors in series (Bn ) :
(volts)Aeq = A (volts)B1..n = A
A n
� 1− � 1
−
1 n + 1 1 n + 1
� �
− B = 0
Note: This is only correct if all capacitance values are equal.
The power transferred in this arrangement is:
P =
5.3
1
1 Avolts (Afarads + Bfarads ) · R n + 1
Non-id Non-ideal eal beha behavior vior
Capacitors deviate from the ideal capacitor equation in a number of ways. Some of these, such as leakage leakage current and parasitic effects are linear, or can be assumed to be linear, and can be dealt with by adding virtual components to the equivalent the equivalent circuit of circuit of the capacitor. The usual methods methods of network networkanalys analysis is can then then be appl applie ied. d. In other other cases, such as with breakdown voltage, the effect is nonlinear and normal (i.e., linear) linear) network analysis analysis cannot be used, used, theeff the effec ectt must must be dealt dealt with with separa separate tely ly.. There There is yet yet another group, which may be linear but invalidate the assumption in the analysis that capacitance is a constant. Such an example example is temperatur temperaturee depend dependenc ence. e. Finally Finally,, combined parasitic effects such as inherent inductance, resistance, or dielectric losses can exhibit non-uniform behavior at variable frequencies of operation.
5.3.1 5.3.1
Break Breakdo down wn voltag voltagee
Main article: Breakdown article: Breakdown voltage Above a particular electric field, known as the dielectric strength Eds , the dielectric in a capacitor becomes conductiv ductive. e. The voltage voltage at which which this occurs is called called the break breakdo down wn volta voltage ge of thede the devic vice, e, andis and is give givenn by thepr the prododuct of the dielectric dielectric strength and the separation between the conductors,[18] V bd = E ds ds d
Themax The maxim imum um ener energy gy that that can canbe be stored storedsaf safel elyy in a capac capac-itor is limited by the breakdown voltage. Due to the scaling of capacitance and breakdown voltage with dielectric thickness, all capacitors made with a particular dielectric have approximately equal maximum energy density, density, to the extent that the dielectric dominates their volume. [19] For air dielectric capacitors the breakdown field strength is of the order 2 to 5 MV/m; for mica for mica the the breakdown is 100 100 to 300 300 MV/m MV/m;; for oil, oil, 15 to 25 MV/m; MV/m; it can can be mu much ch [20] less when other materials are used for the dielectric. The dielectric is used in very thin layers and so absolute breakdown breakdown voltage of capacitors capacitors is limited. Typical ratings for capacitors used for general electronics electronics appli applications range from a few volts to 1 kV. As the voltage increases, the dielectric must be thicker, making highvoltage capacitors larger per capacitance than those rated for lower lower voltages. The breakdown breakdown voltage is critically critically affected by factors such as the geometry of the capacitor conductive parts; sharp edges or points increase the electric electric field strength at that point and can lead to a local breakdown. breakdown. Once this starts to happen, the breakdown breakdown quickly tracks through the dielectric until it reaches the opposite plate, leaving carbon behind and causing a short (or relatively relatively low resistance) resistance) circuit. The results can be explosive as the short in the capacitor draws current from the surrounding circuitry and dissipates the energy. [21] The usual breakdown route is that the field strength become comess large large enou enough gh to pull pull elec electro trons ns in the diel dielec ectri tricc from rom their atoms thus causing conduction. Other scenarios are possible, such as impurities in the dielectric, and, if the dielectric is of a crystalline nature, imperfections in the crystal structure can result in an avalanche an avalanche breakdown as breakdown as seen in semi-conductor semi-conductor devices. devices. Breakdown Breakdown voltage voltage is also affected by pressure, humidity and temperature. [22] 5.3.2 5.3.2
Equiv Equivale alent nt circu circuit it
An ideal capacitor only stores and releases releases electrical energy, without dissipating dissipating any. In reality, all capacitors capacitors have imperfections within the capacitor’s material that create resistance. resistance. This is specified specified as the equivalent series resistance or ESR of a component. This adds a real component to the impedance:
43
5.3. NON-IDEAL BEHAVIOR BEHAVIOR
Q =
1 X C C , = RC ωC RC
where ω is angular is angular frequency, frequency , C is the capacitance, capacitance, X C C is the the capaci capacitive tive reactanc reactancee, and RC is the serie seriess resi resista stanc ncee of the capacitor. capacitor. 5.3.4 5.3.4
Two different different circuit models of a real capacitor
RC = Z + + RESR =
1 jω C
Ripple Ripple curren currentt
Ripple current is the AC component of an applied source (often a switched-mode a switched-mode power supply) supply ) whose frequency may be constant or varying. Ripple current causes heat to be generated within the capacitor due to the dielectric losses caused by the changing field strength together with the current flow across the slightly resistive supply lines or the electrolyte electrolyte in the capacitor. The equivalent equivalent series resistance (ESR) is the amount of internal series resistance one would add to a perfect capacitor to model this. Some types Some types of capacitors, capacitors , primarily tantalum primarily tantalum and and aluminum electrolytic capacitors, capacitors , as well as some film some film capacitors have pacitors have a specified rating value for maximum ripple current.
+ RESR
• Tantalum electrolytic capacitors with solid man-
ganese dioxide electrolyte are limited by ripple curAs frequen requency cy appr approac oache hess infinit infinity, y, the capac capaciti itive ve rent and generally have the highest ESR ratings in impedance (or reactance) approaches zero and the ESR the capacitor family. family. Exceeding their their ripple limits becomes becomes significa significant. nt. As the reactance reactance becomes becomes neglican lead to shorts and burning parts. gible, power dissipation approaches P RMS RMS = V RMS² RMS² /RESR. • Aluminum electrolytic capacitors, the most comSimilarly Similarly to ESR, the capacitor’s capacitor’s leads add equivalent semon type of electrolytic, suffer a shortening of life ries inductance or ESL to the component. This is usually expectancy at higher ripple currents. If ripple cursignificant significant only at relativel relativelyy high frequenci frequencies. es. As inducrent rent exce exceeds eds the rated rated value value of the capac capacititor, or, it tends tends tive reactance is positive and increases with frequency, to result in explosive failure. above a certain frequency capacitance will be canceled • Ceramic capacitors generally capacitors generally have no ripple current by inductance. High-frequenc High-frequencyy engineering involves involves aclimitation and have some of the lowest ESR ratings. counting for the inductance of all connections and components. capacitors have very low ESR ratings but ex• Film capacitors have If the conductors are separated by a material with a small ceeding rated ripple current may cause degradation conductivity rather than a perfect dielectric, then a small failures. leakage current flows directly between them. The capacitor therefore has a finite parallel resistance, [12] and slowly 5.3.5 Capaci Capacitan tance ce instab instabilit ility y disch dischar arges gesov over er time time (time (time may may vary vary greatl greatlyy depe dependi nding ng on 5.3.5 the capacitor material and quality). The capacitance of certain capacitors decreases as the compone component nt ages. ages. In ceramic In ceramic capacitors, capacitors , this is caused by degradation of the dielectric. The type of dielectric, 5.3.3 5.3.3 Q facto actorr ambient ambient operating and storage temperatures are the most significant significant aging factors, factors, while the operating voltage has The quality The quality factor ( factor (or or Q ) of a capacitor is the ratio of a smaller effect. The aging process may be reversed by its reactance to its resistance at a given frequency, and is heating the component above the Curie point. point. Aging is a measure of its efficiency efficiency.. The higher the Q factor factor of fastest near the beginning of life of the component, and the capacitor, the closer it approaches the behavior of an the device stabilizes over time. [23] Electrolytic capacitors ideal, lossless, capacitor. ageasthe elec electrolyte trolyte evapo evaporates rates.. In contr contrast ast with with ceram ceramic ic The Q factor of a capacitor can be found through the fol- capacitors, this occurs towards the end of life of the comlowing formula: ponent.
44 Temperature dependence of capacitance is usually expressed in parts per million (ppm) per °C. It can usually be taken taken as a broadl broadlyy linear linear func functio tionn but can can be notic noticea eabl blyy non-linear at the temperature extremes. The temperature coefficient coefficient can be either positive or negative, negative, sometimes even even among amongst st differ differen entt sampl samples es of thesam the samee type. type. In other other words, the spread in the range of temperature coefficients can encompass encompass zero. zero. See the data sheet sheet in the leakage leakage current section above for an example. Capacitors, especially ceramic capacitors, and older designs such as paper capacitors, can absorb sound waves resulting in a microphonic effec effect.t. Vibrat Vibratio ionn moves moves the plates, causing the capacitance to vary, in turn inducing ducing AC curre current. nt. Some Some diel dielec ectri trics cs also generat generatee piezoelectricity.. The resulting interference piezoelectricity interference is especially especially problematic problematic in audio applications, applications, potentially potentially causing feedback feedback or unintended recording. In the reverse reverse microphonic effect, the varying electric field between the capacitor plates exerts a physical force, moving them as a speaker. speaker. This can generate audible sound, but drains energy and stresses the dielectric and the electrolyte, if any.
CHAPTER 5. CAPACITOR CAPACITOR
5.3.7 5.3.7
Dielec Dielectri tricc absorpti absorption on
Capacitors made with some types of dielectric material show "dielectric "dielectric absorption" absorption" or “soakage”. On discharging a capacitor and disconnecting it, after a short time it may develop a voltage due to hysteresis in the dielectric. This effect can be objectionable in applications such as precision sample precision sample and hold circuits. hold circuits. 5.3.8 5.3.8
Leak Leakag agee
Leakage is equivalent to a resistor in parallel with the capacitor. Constant exposure exposure to heat can cause dielectric dielectric breakdown breakdown and exce excessiv ssivee leakage, leakage, a problem problem often seen in older vacuum tube circuits, particularly where oiled paper paper and foil foil capacitor capacitorss were used. In many vacuum vacuum tube circuits, interstage coupling capacitors are used to conduct a varying signal from the plate of one tube to the grid circuit of the next stage. A leaky capacitor can cause the grid circuit voltage to be raised from its normal bias setting, causing excessive current or signal distortion in the downstream tube. In power amplifiers this can cause the plates to glow red, or current limiting resistors to overhe overheat, at, even even fail. Similar Similar conside considerati rations ons apply apply to 5.3.6 Current Current and voltage voltage reversal reversal component fabricated solid-state (transistor) amplifiers, Current reversal occurs when the current changes direc- but owing to lower heat production and the use of modtion. Voltage reversal is the change of polarity in a cir- ern polyester dielectric barriers this once-common probcuit. Reversal Reversal is generally described described as the percentage percentage lem has become relatively rare. of the maximum rated voltage that reverses polarity. In DC circuits, this will usually be less than 100% (often in Electrolytic failure from disuse the range of 0 to 90%), whereas AC circuits experience 5.3.9 Electrolytic 100% reversal. electrolytic trolytic capacitors are capacitors are conditioned when when In DC circuits and pulsed circuits, current and voltage re- Aluminum elec manufactured by applying a voltage sufficient to initiate versal are affected by the damping the damping of of the system. Voltage proper internal chemica chemicall state. This state is mainreversal is encountered in RLC circuits that circuits that are underare under- the proper times, damped.. The curr damped current ent and voltage voltage reverse reverse directi direction, on, formform- tained by regular use of the equipment. In former times, ing a harmonic a harmonic oscillator between oscillator between the inductance the inductance and and ca- roughly 30 years ago, if a system using electrolytic capacitorss is unus unused ed for a long long peri period od of time time it can can lose lose its its conconpacitance. The current and voltage will tend to oscillate itor Sometimes they fail with a short circuit circuit when and may reverse reverse direction several times, with each peak ditioning. Sometimes operated. For further further information information see Aluminum see Aluminum being lower than the previous, until the system reaches next operated. an equilibrium. equilibrium. This is often refe referred rred to as ringing ringing.. In electrolytic capacitor#Capacitor behavior after storage or comparison, critically comparison, critically damped or damped or over-damped over-damped systems systems disuse usually do not experience a voltage reversal. Reversal is also encountered in AC circuits, where the peak current will be equal in each direction. 5.4 Capa Capaci cito torr types types For maximum life, capacitors usually need to be able to handle the maximum amount of reversal that a system Main article: Types article: Types of capacitor will experien experience. ce. An AC circuit circuit will experie experience nce 100% voltage voltage reversa reversal,l, while while under-dam under-damped ped DC circuits circuitswill willexexPractical capacitors capacitors are available commercially commercially in many perience perience less than 100%. Reversal Reversal creates excess excess elec- Practical tric fields in the dielectric, dielectric, causes excess heating of both different forms. The type of internal dielectric, the structhe dielectric and the conductors, and can dramatically ture of the plates and the device packaging all strongly shorten the life expectancy of the capacitor. Reversal rat- affect the characteristics of the capacitor, and its appliings will often affect the design considerations for the ca- cations. pacitor, from the choice of dielectric materials and volt- Values available range from very low (picofarad range; age ratings to the types of internal connections connections used. [24] while while arbitrari arbitrarily ly low values values are in princip principle le possibl possible, e, stray stray
5.4. CAPACITOR CAPACITOR TYPES TYPES
(parasitic (parasitic)) capacita capacitance nce in any circui circuitt is the limiting limiting factor) actor) to about 5 kF supercapacitors kF supercapacitors.. Above Above approxim approximate ately ly 1 microf microfarad arad electr electroly olytic tic capacito capacitors rs are usually used because of their small size and low cost compared with other types, unless their relatively poor stability, life and polarised nature make them unsuitable. Very high capacity supercapacitors use a porous carbonbased electrode material. 5.4.1 5.4.1
Dielec Dielectri tricc materi materials als
Capacitor Capacitormater materials. ials. Fromleft: Fromleft: multilay multilayer er ceramic ceramic,, ceramic ceramic disc, multilayer polyester film, tubular ceramic, polystyrene, polystyrene, metalized polyester film, aluminum electrolytic. Major Major scale divisions are in centimetres.
Most types of capacitor include a dielectric spacer, which increases increases their capacitance. capacitance. These dielectric dielectricss are most often insulators. insulators. However, However, low capacitance capacitance devices are available with a vacuum between their plates, which allows extremely high voltage operation and low losses. Variable capacitors with capacitors with their plates open to the atmosphere were commonly used in radio tuning circuits. Later designs use polymer foil dielectric between the moving and stationary plates, with no significant air space between them. In order to maximise the charge that a capacitor can hold, the diele dielect ctric ric materi material al nee needs ds to have have as high high a permittivity as possi possibl ble, e, while while also also havin havingg as high high a break breakdown down voltage as possible. Several solid dielectrics are available, including paper paper,, plastic,, glass plastic glass,, mica and ceramic and ceramic ma materi terials. als. Paper Paper was used extensi extensively vely in older older devices devices and offe offers rs relatively relatively high voltage performance. performance. However, However, it is susceptible to water absorption, and has been largely replaced by plastic film capaci capacitors tors.. Plastics offer better stability and ageing performance, which makes them useful in timer circuits, although they may be limited to low operating temperatures and atures and frequenc frequencies. ies. Ceramic capacitors capacitors are generally small, cheap and useful for high frequency applications, tions, altho although ugh their their capacit capacitance ance varies varies strongl stronglyy with voltvoltage and they age poorly. They are broadly broadly categorized as class as class 1 dielectrics, dielectrics , which have predictable variation of capacitance with temperature or class 2 dielectrics, dielectrics , which which can operate operate at higher higher voltage voltage.. Glass Glass and mica capacitors are extremely reliable, stable and tolerant to high temperatures and voltages, but are too expensive for most mainstream mainstream applications. applications. Electrolytic Electrolytic capacitors tors and supercapacitors are are used used to stor storee smal smalll and and larg larger er
45 amounts of energy, respectively, ceramic capacitors are often used in resonators in resonators,, and parasitic and parasitic capacitance occurs in circuits wherever the simple conductor-insulatorconductor structure is formed unintentionally by the configuration of the circuit layout. Electrolytic Electrol ytic capacito capacitors rs usean aluminum or tantalum plate with an oxide dielectric layer. layer. The second electrode electrode is a liquid electrolyte liquid electrolyte,, connected to the circuit by another foil plate. Electrolytic capacitors offer very high capacitance but suffer from poor tolerances, tolerances, high instability, instability, gradual loss of capacitance especially when subjected to heat, and high leakage current. Poor quality capacitors may capacitors may leak electrolyte, which is harmful to printed circuit boards. The conductivity of the electrolyte drops at low temperatures, which increases equivalent series resistance. While widely used for power-supply conditioning, poor highfrequency characteristics make them unsuitable for many applications. Electrolytic capacitors will self-degrade if unused for a period (around a year), and when full power is applied may short circuit, permanently damaging the capacitor and usually blowing a fuse or causing failure of rectifier rectifier diodes (for instance, in older equipment, equipment, arcing in rectifier tubes). They can be restored before use (and damage) by gradually applying applying the operating voltage, often done done on antiq antique ue vac vacuum uum tube equipm equipment ent over over a period period of 30 minutes by using a variable transformer to supply AC power. Unfortunatel Unfortunately, y, the use of this technique technique may be less satisfactory for some solid state equipment, which may be damaged by operation below its normal power range, requiring that the power supply first be isolated from from the consuming circuits. Such remedies remedies may not be applicable to modern high-frequency power supplies as these produce full output voltage even with reduced input. Tantalum capacitors offer better frequency and temperature characteristics characteristics than aluminum, but higher higher dielectric absorption and absorption and leakage.[25] Polymer capacitors (OS-CON, (OS-CON, OC-CON, OC-CON, KO, KO, AO) use solid conductive conductive polymer polymer (or polymerized organic semiconductor) as electrolyte and offer longer life and lower ESR at ESR at higher cost than standard electrolytic capacitors. A feedthrough capacitor is capacitor is a component that, while not serving as its main use, has capacitance and is used to conduct signals through a conductive sheet. Several Severalothe otherr typesof types of capacito capacitorr are availa available ble for specia specialist list applications. Supercapacitors store Supercapacitors store large amounts of energy. Supercapacitors made from carbon aerogel carbon aerogel,, carbon nanotubes, or highly porous electrode materials, offer extremely high capacitance (up to 5 kF as of 2010) and can be used in some applications instead of rechargeable of rechargeable batteries.. Alternating current capacitors teries current capacitors are specifically designed signed to work work on line (mains) (mains) voltage voltage AC power power circui circuits. ts. They They arecommonl arecommonlyy used used in electri electricc motor circui circuits ts and are often designed to handle large currents, so they tend to be physically large. They are usually ruggedly packaged, often in metal cases that can be easily grounded/earthed.
46
CHAPTER 5. CAPACITOR CAPACITOR
They also are designed with direct current breakdown current breakdown voltages of at least five times the maximum AC voltage. 5.4.2 5.4.2
Struc Structu ture re
Several axial-lead electrolytic electrolytic capacitors
Capacitor packages: SM SMD D ceramic ceramic at top left; SMD tantalum at bottom left; left; through-hole through-hole tantalum tantalum at top right; right; through-ho through-hole le electrolytic at bottom right. Major Major scale divisions are cm.
The arrangement of plates and dielectric has many variations depending on the desired ratings of the capacitor. For small values values of capacitance capacitance (microf (microfarads arads and less), ceramic disks use metallic coatings, with wire leads bonded bondedto to thecoa the coatin ting. g. Large Largerr value valuess can can be made made by mulmultiple stacks of plates and disks. Larger value capacitors usually use a metal foil or metal film layer deposited on the surface of a dielectric film to make the plates, and a dielectric film of impregnated impregnated paper paper or or plastic – these are rolled up to save space. To reduce the series resistance and inductance for long plates, the plates and dielectric are staggered so that connection is made at the common edge of the rolled-up plates, not at the ends of the foil or metalized film strips that comprise the plates. The assembly is encased to prevent moisture entering the dielectric dielectric – early radio equipment equipment used a cardboard tube t ube sealed with wax. Modern paper or film dielectric capacitors are dipped in a hard thermoplastic. Large capacitors capacitors for high-voltage high-voltage use may have the roll form compressed to fit into a rectangular metal case, with bolted terminals terminals and bushings for connections. The dielectric in larger capacitors is often impregnated with a liquid to improve its properties. Capacitors may have their connecting leads arranged in many configurations, for example axially or radially. “Axial” means that the leads are on a common axis, typically the axis of the capacitor’s cylindrical body – the leads leads extend extend from from opposite opposite ends. ends. Radial Radial leads leads might might mor moree accurately be referred to as tandem; they are rarely actually aligned along radii of the body’s circle, so the term is inexact, although universal. The leads (until bent) are usually in planes planes parallel to that of the flat body of the capacitor, and extend in the same direction; they are often
parallel as manufactured. Small, cheap discoidal ceramic capacitors have capacitors have existed since the 1930s, and remain in widespread use. Since the 1980s, surface 1980s, surface mount packages mount packages for capacitors have been widel widelyy used. These These packag packages es are extreme extremely ly small small and lack lack connecting leads, allowing them to be soldered directly onto the surface of printed of printed circuit boards. boards . Surface Surface mount components avoid undesirable high-frequency effects due to the leads and simplify automated assembly, although manual handling is made difficult due to their small size. Mechanically controlled variable capacitors allow the plate spacing to be adjusted, for example by rotating or sliding a set of movable plates into alignment with a set set of stationa stationary ry plates. plates. Low Low cost cost varia variabl blee capac capac-itors squeeze together alternating layers of aluminum and plastic with a screw screw.. Electric Electrical al control control of capacicapacitance tance is achie achievab vable le with varactors (or varicap varicaps), s), which which are reverse-biased semiconductor diodes whose diodes whose depletion region width varies with applied applied voltage. They are used in phase-locked loops, loops , amongst other applications.
5.5
Capaci Capacitor tor markin markings gs
See also: Preferred also: Preferred number § E series Most capacitors have numbers printed on their bodies to indicate their electrical characteristics. Larger capacitors like like electr electroly olytic ticss usually usually displa displayy the actual actual capaci capacitanc tancee together with the unit (for example, 220 μF). Smaller capacitors like ceramics, however, use a shorthand consisting of three numeric digits and a letter, where the digits indicate the capacitance in pF pF (calculated (calculated as XY × 10 Z for digits XYZ) and the letter indicates the tolerance (J, K or M for ±5%, ±10% and ±20% respectively). Additionally, the capacitor may show its working voltage, temperature and other relevant characteristics. For typograph typographica icall reasons, reasons, some manufact manufacturer urerss print print “MF” on capacitors to indicate microfarads (μF). [26]
47
5.6. APPLICATION APPLICATIONS S
5.5. 5.5.1 1
Exam Exampl plee
amplifier to use on demand. Also for a flash amplifier to a flash tube a tube a capacitor is used to hold the high the high voltage. voltage.
A capacitor with the text 473K 330V on its body has a capacitance of 47 × 10 3 pF = 47 nF (±10%) with a working voltage of 330 V. The working voltage of a capacitor capacitor 5.6.2 5.6.2 Pulsed Pulsed powe powerr and and weapon weaponss is the highest voltage that can be applied across it without undue risk of breaking down the dielectric layer. Groups of large, specially constructed, low-inductance capacitor banks)areusedtosuphigh-vo high-voltag ltagee capacito capacitors rs (capacitor ply huge pulses of current for many pulsed many pulsed power applipower appli5.6 Appl Applic icat atio ions ns cations. These include include electromagnetic forming, forming , Marx generators,, pulsed lasers generators pulsed lasers (especially (especially TEA TEA lasers), lasers), pulse pulse forming networks networks, , radar, radar , fusion research, fusion research, and particle and particle acMain article: Applications article: Applications of capacitors celerators.. celerators Large Large capac capacito itorr banks banks (reser (reservo voir) ir) are used used as energy sources for the the exploding-bridgewire detonators or detonators or slapper detonators in detonators in nuclear nuclear weapons and weapons and other specialty weapo weapons. ns. Experi Experime menta ntall work work is under under way way using using banks banks of capacitors as power sources for electromagnetic for electromagnetic armour and electromagnetic railguns electromagnetic railguns and and coilguns coilguns.. 5.6.3 5.6.3
Powe Powerr condit condition ioning ing
This mylar-fi mylar-film, lm, oil-filled oil-filled capacitor capacitor has very low inductance inductance and A 10,000 mic 10,000 microf rofarad arad capacitor capacitor in an amplifier amplifier power supply lowresista lowresistance nce,, to provi provide de thehigh-pow thehigh-power er (70 mega megawat watt) t) andhigh speed (1.2 microsecond) discharge needed to operate a dye laser .
5.6.1 5.6.1
Energy Energy storag storagee
A capacitor capacitor can store electric energy when disconnected from its charging circuit, so it can be used like a temporary battery porary battery,, or like other types of rechargeable of rechargeable energy [27] storage system system.. Capaci Capacitor torss areco are commo mmonl nlyy used used in elecelectronic devices to maintain power supply while batteries are being changed. (This prevents loss of information information in volatile memory.) Conventional capacitors provide less than 360 joules per kilogram of energy of energy density, density , whereas a conventional alkaline battery has battery has a density of 590 kJ/kg. In car In car audio systems, audio systems, large capacitors store energy for the
Reservoir capacitors are capacitors are used in power supplies where supplies where they smooth the output of a full or half wave rectifier rectifier.. They can also be used in charge in charge pump circuits pump circuits as the energy storage element in the generation of higher voltages than the input voltage. Capacitors are connected in parallel with the power circuits of most electronic devices and larger systems (such as factories) to shunt away and conceal current fluctuations from the primary power source to provide a “clean” power supply for signal or control circuits. Audio equipment, for example, uses several capacitors in this way, to shunt away power line hum before it gets into the signal circuitry. The capacitors act as a local reserve for the DC power source, and bypass AC currents from the power suppl supply. y. This is used in car audio audio applicatio applications, ns, when a stiffening capacitor compensates for the inductance and resistance resistance of the leads to the lead-acid the lead-acid car battery. battery .
48
CHAPTER 5. CAPACITOR CAPACITOR
Power factor correction
Polyester film film capacitors are capacitors are frequently used as coupling capacitors. Decoupling
Main article: decoupling article: decoupling capacitor
A high-voltage capacitor bank used for power factor correction on a power transmission system system
A decoupling capacitor is capacitor is a capacitor used to protect one part of a circuit from the effect of another, for instance to suppress noise or transients. Noise caused by other circuit elements is shunted through the capacitor, reducing the effect they have on the rest of the circuit. It is most commonly used between the power supply and ground. An alternative name is bypass capacitor as it is used to bypass the power supply or other high impedance component of a circuit. circuit. Decoupling capacitors capacitors need not always be discrete components. Capacitors Capacitors used in these applications applications may be built in to a printed circuit board, board, between the various layers. layers. These These are often ref referre erredd to as embedded embedded [28] capacitors. The layers in the board contributing to the capacitive properties also function as power and ground planes, and have a dielectric in between them, enabling them to operate as a parallel plate capacitor.
In electric power distribution, capacitors are used for power factor correction. correction . Such capacitors often come as three three capac capacito itors rs conne connect cted ed as a thr three ee pha phase se loa loadd. Usually, the values of these capacitors capacitors are given not in farads but rather as a reactive a reactive power in power in volt-amperes reactive (var). The purpose is to counteract inductive loading from devices like electric like electric motors and motors and transmission transmission lines to lines to make the load appear appear to be mostly resistive resistive.. Individual Individual mo- High-pass and low-pass filters tor or lamp loads may have capacitors for power factor information: High-pass filter and filter and Low-pass Low-pass filter correction, or larger sets of capacitors (usually with auto- Further information: High-pass matic switching devices) may be installed at a load center within a building or in a large utility substation utility substation.. Noise suppression, spikes, and snubbers
5.6.4 5.6.4
Suppre Suppressi ssion on and coupli coupling ng
Further information: High-pass information: High-pass filter and filter and Low-pass Low-pass filter
Signal coupling
Main article: capacitive article: capacitive coupling Because capacitors capacitors pass AC but block DC signals DC signals (when (when charged up to the applied dc voltage), they are often used to separate the AC and DC components of a signal. signal. This meth method od is know knownn as AC coupling “capacitiv tivee cou couplin pling”. g”. coupling or “capaci Here, a large value of capacitance, whose value need not be accurately controlled, controlled, but whose reactance whose reactance is is small at the signal frequency, is employed.
When an inductive circuit is opened, the current through the inductance collapses quickly, creating a large voltage across the open circuit of the switch or relay. If the inductance is large enough, the energy will generate a spark, causing the contact points to oxidize, deteriorate, or sometimes weld together, or destroying a solid-state switc switch. h. A snubber snubber capacitor capacitor across the newly opened circuit creates a path for this impulse to bypass the contact points, thereby preserving their life; these were com-
49
5.6. APPLICATION APPLICATIONS S
monly found in contact in contact breaker ignition systems, systems , for instance. Similarly, in smaller scale circuits, the spark may not be enough to damage the switch but will still radiate undesirable radio undesirable radio frequency interference (RFI), which a filter capacito capacitorr absorbs. absorbs. Snubber capacitors capacitors are usually usually employed employed with a low-value low-value resistor in series, to dissipate energy and minimize RFI. Such resistor-capacitor combinations are available in a single package. Capacitors are also used in parallel to interrupt units of a high-voltage circuit high-voltage circuit breaker in breaker in order to equally equally distribute the voltage voltage between between these these units. In this case they are called grading capacitors. capacitors. In schematic diagrams, a capacitor used primarily for DC charge storage is often drawn vertically in circuit diagrams with the lower, more negative, plate drawn as an arc. The straight plate indicates the positive terminal of the device, if it is polarized (see electrolytic (see electrolytic capacitor). capacitor ).
of integrators or more complex filters and in negative feedback loop stabilization. stabilization. Signal processing processing circuits circuits also use capacitors to integrate to integrate a a current signal. Tuned circuits
Capacitors and inductors are applied together in tuned circuits to select select informa information tion in particul particular ar freque frequency ncy bands. For example, radio example, radio receivers rely receivers rely on variable capacitors to tune the station frequenc frequency. y. Speakers Speakers use passive analog crossovers analog crossovers,, and analog equalizers use capacitors to select different audio bands. The resonant The resonant frequency f of of a tuned circuit is a function of the inductance ( L) and capacitance ( C ) in series, and is given by:
f =
5.6.5 5.6.5
Moto Motorr start starter erss
Main article: motor article: motor capacitor In single phase squirrel phase squirrel cage motors, cage motors, the primary winding within the motor housing is not capable of starting a rotational motion on the rotor, but is capable of sustaining one. To start the motor, motor, a secondary secondary “start” “start” winding has a series non-polarized starting capacitor to introduce a lead in the sinusoidal current. When the secondary (start) winding is placed at an angle with respect to the primary (run) winding, a rotating electric field is created. The force force of the t he rotational field field is not constant, but is sufficient to start the rotor spinning. When the rotor comes close to operating speed, a centrifugal switch (or current-sensitive relay in series with the main winding) disconnects the capacitor. capacitor. The start capacitor is typically mounted to the side of the motor housing. These are called capacitor-start motors, that have relatively high starting torque. Typically Typically they can have up-to four times as much starting torque than a split-phase motor and are used on applications such as compressors, pressure washers and any small device device requiring high starting torques. Capacitor-run induction motors have a permanently connected phase-shifting capacitor in series with a second winding. The motor is much like a two-phase induction motor. Motor-start Motor-starting ing capacito capacitors rs are typicall typicallyy non-polari non-polarized zed electrolytic types, while running capacitors are conventional paper or plastic film dielectric types. 5.6.6 5.6.6
Signal Signal proces processin sing g
The energy stored in a capacitor can be used to represent information,, either in binary form, as in DRAMs information DRAMs,, or in analogue form, as in analog in analog sampled filters and filters and CCDs CCDs.. Capacitors can be used in analog in analog circuits as circuits as components
√ 1
2π LC
where L is in henries in henries and and C is is in farads. 5.6.7 5.6.7
Sens Sensing ing
Main article: capacitive article: capacitive sensing Main article: Capacitive article: Capacitive displacement sensor Most capacitors are designed to maintain a fixed physical structure. However, However, various factors factors can change the structure of the capacitor, capacitor, and the resulting change in capacitance can be used to sense to sense those those factors. Changing the dielectric: The effects of varying the characteristics of the be used for sensing purposes. Capacitors with an exposed and porous dielectriccanbeusedtomeasurehumidityinair. Capacito pacitors rs are used used to accu accurat ratel elyy meas measure ure the fuel fuel level in airplanes in airplanes;; as the fuel covers more of a pair of plates, plates, the circui circuitt capacita capacitance nce increas increases. es.
dielectric can
Changing the distance between the plates: Capacitors with a flexible plate can be used to measure strain or pressure. Industrial pressure transmitters used for process for process control use control use pressure-sensing diaphragms, which form a capacitor plate of an oscillator oscillator circuit. circuit. Capacitors are used as the sensor the sensor in in condenser condenser microphones,, where one plate is moved by air presphones sure, relative to the fixed position of the other
50
CHAPTER 5. CAPACITOR CAPACITOR
plate. Some accelerometers Some accelerometers use use MEMS MEMS capac capacitors etched on a chip to measure the magnitude and direction of the acceleration vector. They are used to detect changes in acceleration, tion, in tilt tilt sensor sensors, s, or to detec detectt free fall, all, as sensensors triggering airbag triggering airbag deployment, deployment, and in many other other applica application tions. s. Some fingerprint Some fingerprint sensors use capacitors. Additionally, Additionally, a user can adjust adjust the pitch of a theremin a theremin musical musical instrument by moving their hand since this changes the effective capacitance between the user’s hand and the antenna.
even potentially fatal shocks fatal shocks or or damage connected equipment. ment. For exampl example, e, even a seemingly seemingly innocuo innocuous us device such as a disposable camera flash unit powered by a 1.5 volt AA volt AA battery contains battery contains a capacitor which may be charged to over 300 volts. This is easily capable of delivering a shock. Service procedures procedures for electronic devices devices usually include instructions to discharge large or highvoltage capacitors, for instance using a Brinkley stick. stick . Capacitors may also have built-in discharge resistors to dissipate stored energy to a safe level within a few seconds after power is removed. High-voltage capacitors are stored with the terminals shorted terminals shorted,, as protection from potentially tentially dangerous voltages due to dielectric to dielectric absorption. absorption . Changing the effective area of the plates: Some old, large oil-filled paper or plastic film capacitors contain polychlorinated biphenyls (PC (PCBs Bs). ). It isis known that waste PCBs can leak into groundwater groundwater un under landfills der landfills.. Capacitors containing containing PCB were were labelled labelled Capacitive touch switches are now used on as containing “Askarel” and several other trade names. many consumer electronic products. PCB-filled paper capacitors are found in very old (pre1975) fluorescent 1975) fluorescent lamp ballasts, lamp ballasts, and other applications. 5.6.8 5.6.8 Oscill Oscillat ator orss Capacitors may catastrophically may catastrophically fail when subjected to voltages or currents beyond beyond their rating, or as they t hey reach reach Further information: Hartley information: Hartley oscillator their their normal end of life. life. Dielec Dielectric tric or metal interconinterconA capacitor can possess spring-like qualities qualities in an oscil- nection failures may create arcing that vaporizes the dielectric fluid, resulting in case bulging, rupture, or even an explosion an explosion.. Capacito Capacitors rs used in RF in RF or or sustained highcurrent applications can overheat, especially in the center of the capaci capacitor tor rolls. rolls. Capacit Capacitors ors used within within high-en high-energy ergy capacitor banks can violently explode when a short in one capacitor capacitor causes sudden dumping of energy stored in the rest rest of theban the bankk into into thef the failing ailing unit. unit. Hig Highh voltag voltagee vacu vacuum um capacitors can generate soft X-rays even during normal operation. Proper containment, containment, fusing, fusing, and preventive preventive maintenance maintenance can help to minimize these hazards. High-voltage capacitors can benefit from a pre-charge pre-charge to to limit in-rush currents at power-up of high voltage direct current (HVDC) circuits. circuits. This will extend the life life of the component and may mitigate high-voltage hazards.
• Swollen caps of electrolytic capacitors – special
design of semi-cut caps prevents capacitors from bursting
Example of a simple oscillator that requires a capacitor to function
a defibrillator can can • This high-energy capacitor from a defibrillator
lator circuit. circuit. In the image example, example, a capacitor acts acts to influence the biasing voltage at the npn transistor’s base. The resistance values of the voltage-divider resistors and the capac capacititanc ancee value valueof of the capac capacito itorr togeth together er contr control ol the oscillatory frequency.
• Catastrophic failure
deliver over 500 joules of energy. A resistor is connected between the terminals for safety, to allow the stored energy to be released. released.
5.8 5.8 5.7
Hazard Hazardss and safety safety
Capacitors may retain a charge long after power is removed from a circuit; this charge can cause dangerous or
See also also
• Capacitance meter • Capacitor plague • Circuit design
51
5.10. BIBLIOGR BIBLIOGRAPHY APHY
• Electric displacement field • Electroluminescence • Electronic oscillator • Gimmick capacitor • Vacuum variable capacitor 5.9
Ref Referen erence cess
[1] Bird, John John (2010) (2010)..
Electrica Elect ricall and Electronic Electronic PrinciPrinci ples and Technolog Technologyy. Rout Routle ledg dge. e. pp. pp. 63–7 63–76. 6. ISBN
9780080890562.. Retrieved 2013-03-17. 9780080890562
[16] “Capacitor charging charging and discharging”. discharging”. All About Circuits. Retrieved 2009-02-19. [17] [17] Pil Pilla lai,i, K. P. P. (1970 (1970). ). parallel-plate parallel-plate capacitors”.
“Fri “Fring ngin ingg field field of finite finite
Proce Proceedi edings ngs of the InstiInsti(6): 1201–1 1201–1204 204.. tution of Electrical Electrical Engineers Engineers 117 (6):
doi::10.1049/piee.1970.0232 doi 10.1049/piee.1970.0232.. [18] Ulaby, p.170 [19] Pai, S. T.; T.; Qi Zhang (1995). (1995). Introduction to High Power Pulse Technology. Adva Advance ncedd Series Series in Electri Electrica call and Computer Engineering 10. World World Scient Scientifi ific. c. ISBN 9789810217143.. Retrieved 2013-03-17. 9789810217143 [20] [20] Dyer, Dyer, Stephe Stephenn A. (2004) (2004).. Wiley Surve Surveyy of Instr Instrumen umentation tation and Measurement . John Wiley & Sons. p. 397. ISBN 9780471221654.. Retrieved 2013-03-17. 9780471221654
[2] Williams, Henry Smith. “A History of Science Volume II, Part VI: The Leyden Jar Discovered”. Discovered”. Retrieved 2013- [21] Scherz, Paul (2006). Practical Electronics for Inventors (2nd ed.). McGraw McGraw Hill Hill Prof Professi essional onal.. p. 100. ISBN 03-17. 9780071776448.. Retrieved 2013-03-17. 9780071776448 [3] Keithley, Joseph F. (1999). The Story of Electrical and Magnetic Measurements: Measurements: From 500 BC to the 1940s. John [22] Bird, John (2007). Electrical Circuit Theory and Technology. Rout Routle ledg dge. e. p. 501. 501. ISBN 9780750681391. 9780750681391. ReWiley Wiley & Sons. p. 23. ISBN 23. ISBN 9780780311930. 9780780311930. Retrieved trieved 2013-03-17. 2013-03-17. [4] Houston, Houston, Edwin J. (1905). (1905). Electricity in Every-day Life . [23] “Ceramic Capacitor Aging Made Simple”. Simple”. Johanson DiP. F. Collier & Son. p. 71. Retrieved 2013-03-17. electrics. 2012-05-21. Retrieved 2013-03-17.
[5] Isaacson, Walter (2003). Walter (2003). Benjamin Franklin: An Amer- [24] “The Effect of Reversal on Capacitor Life” Life” (PDF). (PDF). Engiican Lif Lifee. Sim Simon and Sch Schuste uster. r. p. 136. 136. ISBN neering Bulletin 96-004. Sorrento Electronics. November 9780743260848.. Retrieved 2013-03-17. 9780743260848 2003. Retrieved 2013-03-17. [6] Franklin, Benjamin Benjamin (1749-04-29). (1749-04-29). “Experiments & Ob- [25] Guinta, Steve. “Ask The Applications Engineer – 21”. 21” . servatio serv ations ns on Elec Electrici tricity: ty: Lette Letterr IV to Pete Peterr Coll Collinso inson” n” Analog Devices. Retrieved 2013-03-17. (PDF). p. 28. Retrieved 2009-08-09. [26] Kaplan, Kaplan, Daniel Daniel M.; White, White, Christoph Christopher er G. Hands-On [7] Morse, Robert A. (September 2004). “Franklin and Electronics: A Practical Introduction to Analog and DigElectrostatics—Ben Franklin as my Lab Partner” (PDF). Partner” (PDF). ital Circuits. p. 19. Wright Center for Science Education . Tufts University. p. 23. Retrieved 2009-08-10. After Volta’s discovery discovery of the [27] Miller, Charles. Illus Illustrate tratedd Guide to the Nati National onal Elect Electrica rical l electrochemical electrochemical cell in 1800, the term was then applied to Code, p. 445 (Cengage Learning 2011). a group of electrochemical cells [28] Alam, Mohammed; Michael Michael H. Azarian; Michael Michael Oster[8] “eFunda: “eFunda: Gloss Glossary: ary: Uni Units: ts: Elect Electric ric Capac Capacitan itance: ce: Jar” Jar”.. man; Michael Pecht (2010). “Effectiveness “Effectiveness of embedded eFunda. Retrieved 2013-03-17. capacitors in reducing the number of surface mount capacitors for decoupling applications”. Circuit World 36 [9] “Sketch of Alessandro Volta”. Volta”. The Popular Popular Science Science (1): 22. doi 22. doi::10.1108/03056121011015068 10.1108/03056121011015068.. Monthly (New (New York: York: Bonnier Bonnier Corporation) Corporation):: 118–119. 118–119. May 1892. ISSN 1892. ISSN 0161-7370. 0161-7370. [10] Ulaby, Ulaby, p.168 p.168 [11] Ulaby, Ulaby, p.157 p.157 [12] Ulaby, Ulaby, p.169 p.169
5.10 5.10
Bibl Biblio iogra graph phy y
Dorf,, • Dorf
Rich Richard ard C.; Svobod Svoboda, a, James James A. (2001) (2001).. Introduct Intro duction ion to Elec Electric tric Cir Circuits cuits (5th ed.). New York: York: John Wiley & Sons. ISBN Sons. ISBN 9780471386896. 9780471386896 .
[13] Hammond, Percy (1964). Electromagnetism for for Engineers: An Introductory Course . The Commonwealth and International Library of Science, Technology, Engineering and Liberal Studies. Applied Electricity Electricity and Electronics Division 3. Pergamon Press. pp. 44–45.
• Philosophical Transactions of the Royal Society
[14] Dorf, p.263
• Ulaby, Fawwaz Tayssir (1999). Fundamentals of
[15] Dorf, p.260
LXXII, Appendix 8, 1782 (Volta coins the word condenser )
Upper Saddle Saddle River, River, New New Applied Electromagnetic Electromagneticss . Upper Jersey: Prentice Prentice Hall. ISBN 9780130115546. 9780130115546 .
52
•
CHAPTER 5. CAPACITOR CAPACITOR
Zorp Zorpet ette te,, Glen Glennn (2005 (2005). ). “Sup “Super er Char Charge ged: d: A Tiny Tiny Sout Southh Kore Korean an Comp Compan anyy is Out Out to Ma Makke Capacitors Powerful enough to Propel the Next Generati Generation on of Hybrid-E Hybrid-Elec lectric tric Cars”. Cars”. IEEE (North America Americann ed.) 42 (1): (1): 32. 32. Spectrum (North doi::10.1109/MSPEC.2005.1377872 doi 10.1109/MSPEC.2005.1377872..
Deshpande, e, R.P. (2014). (2014). Capacitors . McGraw-Hill. McGraw-Hill. • Deshpand ISBN 9780071848565. 9780071848565 .
5.11 5.11
Exte Extern rnal al link linkss
Interactive Tutorial National National High High Mag• Capacitors: Interactive netic Field Laboratory
• Currier, Dean P. (2000). “Adventures in Cyber-
sound – Ew sound Ewal aldd Chr Chris istia tiann von vonKl Klei eist” st”.. Archived Archived from from the original on original on 2008-06-25.
• “The First Condenser – A Beer Glass” . SparkMuseum.
• Howstuffworks.com: How Capacitors Work Capacitors • CapSite 2015: Introduction to Capacitors Tutorial – – Includes how to read capacitor • Capacitor Tutorial temperature codes
• Introduction to Capacitor and Capacitor codes • Low ESR Capacitor Manufacturers • How Capacitor Works – Capacitor Markings and Color Codes
Chapter 6
Inductor 6.1 6.1
Over Overvi vieew
Inductance ( L) results from the magnetic Inductance ( the magnetic field around field around a current-carrying conductor current-carrying conductor;; the electric the electric current through current through the conductor creates a magnetic flux. flux. Ma Math them emat atiically speaking, inductance is determined by how much magnetic flux φ through the circuit circuit is created by a given [1][2][3][4] current i Axial lead inductors (100 µH)
L =
φ i
(1)
Inductor Inductorss that have have ferro erromagn magneti eticc cor cores es are nonlinear nonlinear;; the inductance changes with the current, in this more general case inductance is defined as An inductor, also called a coil or reactor, is a passive a passive two-terminal electrical component which component which resists changes in electric in electric current passing current passing through it. It consists consists of a conductor such as a wire, usually wound into a coil coil.. When a current flows through it, energy energy is is stored temporarily in a magnetic field in field in the coil. coil. When When the current current flowing through an inductor changes, the time-varying magnetic field induces a voltage voltage in in the conductor, according to Faraday’s to Faraday’s law of electromagnetic induction, induction , which opposes the change in current current that created it. As a result, inductors always oppose a change in current, in the same way that a flywheel a flywheel oppose oppose a change in rotational velocity. Care shoul shouldd be taken taken not to confuse confuse this with the resistance provided resistance provided by a resistor a resistor.. An inductor is characterized by its inductance, the ratio of the voltage to the rate of change of current, which has units of henries of henries (H). (H). Inductors have values that typically range from 1 µH (10 −6 H) to 1 H. Many inductors have a magnetic a magnetic core made core made of iron or ferrite or ferrite inside inside the coil, which serves to increase the magnetic field and thus the inductance. Along with capacitors with capacitors and and resistors resistors,, inductors are one of the three passive linear circuit elements that that make up electric electric circui circuits. ts. Inducto Inductors rs are widely used in alternating in alternating current (AC) current (AC) electronic equipment, particularly in radio radio equipm equipment. ent. They They are used to block AC while allowing DC to pass; inductors designed for this purpose are called chokes chokes.. They are also used in electronic in electronic filters to filters to separate signals of different frequencies,, and in combination with capacitors frequencies capacitors to make tuned circuits, circuits, used to tune radio and TV receivers. receivers.
L =
dφ di
Any wire or other conductor will generate a magnetic field when current flows through it, so every conductor has some inductan inductance. ce. The inductan inductance ce of a circuit circuit depends on the geometry of the current path as well as the magnetic permeability of permeability of nearby materials. An inductor is a component component consisting consisting of a wire or other conductor shaped to increase the magnetic flux through the circuit, circuit, usually in the shape of a coil or helix helix.. Winding the wire into a coil a coil increases increases the number of times the magnetic flux lines link lines link the circuit, increasing the field and thus the inductance. inductance. The more turns, the higher the inductance. inductance. Theind The induc uctan tance ce also also depe depend ndss on the shap shapee of the coil, coil, sepseparation of the turns, and many other factors. By adding a "magnetic "magnetic core" core" made of a ferromagnetic a ferromagnetic material material like iron inside the coil, the magnetizing field from the coil will induce magnetizatio magnetizationn in the material, increasing the magnetic flux. The high permeability high permeability of of a ferromagnetic core can increase the inductance of a coil by a factor of several thousand over what it would be without it. 6.1.1
Constituti Constitutive ve equation equation
Any change in the current through an inductor creates a changing flux, inducing a voltage across the inductor. By Faraday’s law of induction, induction , the voltage induced by any change in magnetic flux through the circuit is [4]
53
54
CHAPTER 6. INDUCTOR INDUCTOR
Inductors with ferromagnetic cores have additional energy ergy loss losses es due due to hysteresis and edd eddyy curr currents ents in theco the core, re, dφ which increase inc rease with frequenc f requency. y. At high currents, curr ents, iron v = dt core core induc inductor torss also also show show gradua graduall depar departur turee from idea ideall behavior due to nonlinearity nonlinearity caused by magnetic by magnetic saturation From (1) above[4] of the cor core. e. An inductor inductor may radiate radiate electrom electromagne agnetic tic energy into surrounding space and circuits, and may abd di v = dt (Li) = L dt (2) sorb electromagnetic emissions from other circuits, causing electromagnetic ing electromagnetic interference (EMI). Real-world inthese parasitic parame parameSo inductance is also a measure of the amount of ductor applications may consider these parasitic ters as important as the inductance. inductance . electromotive force (voltage) force (voltage) generated for a given rate of change of current. For example, an inductor with an inductance of 1 henry produces an EMF of 1 volt when the current through the inductor changes at the rate of 6.2 Appl Applic icat atio ions ns 1 ampere per per second. second. This is usuall usuallyy taken to be the constitutive relation (defining relation (defining equation) of the inductor. The dual The dual of of the inductor is the capacitor capacitor,, which stores energy in an electric field rather than a magnetic field. Its current-v curr ent-volta oltage ge relatio relationn is obtained obtained by excha exchanging nging curr current ent and volta voltage ge in the induc inductor tor equat equatio ions ns and repl replaci acing ng L with with the capacitance C. 6.1.2 6.1.2
Lenz Lenz’s ’s law law
The polarity polarity (direct (direction) ion) of the induced induced voltage voltage is given given by Lenz’s law, law, which states that it will be such as to oppose the chang changee in curre current. nt. For examp example, le, if the curre current nt throu through gh an inductor is increasing, the induced voltage will be positive at the terminal through which the current enters and Large 50 MVAR three-pha three-phase se iron-cor iron-coree loading loading inductor inductor at a Gernegative negative at the terminal through which it leaves. leaves. The en- Large man utility substation ergy from the external circuit necessary to overcome this potential “hill” is being stored in the magnetic field of the inductor; the inductor is said to be " charging charging"" or “energizing”. If the current is decreasing, the induced induced voltage will be negative at the terminal through which the current enters. Energy from from the magnetic field field is being returned to the circuit; the inductor is said to be “discharging”. 6.1.3 6.1.3
Ideal Ideal and real real ind induct uctors ors
In circuit In circuit theory, theory, inductors are idealized as obeying the mathematical mathematical relation (2) above precisely. precisely. An “ideal inductor” has inductance, but no resistance no resistance or or capacitance capacitance,, and does not dissipate or radiate energy. energy. However However real inductors have side effects which cause their behavior to depart depart from this simple simple model. model. They They have have resistan resistance ce (due to the resistance of the wire and energy losses in core material), and parasitic and parasitic capacitance (due capacitance (due to the electric the electric field betwe between en the turns turns of wire wire which which are at slig slightl htlyy differdifferent potentials). At high frequencies the capacitance begins to affect the inductor’s behavior; at some frequency, real inductors behave as resonant as resonant circuits, circuits, becoming selfbecoming selfresonant.. Above resonant Above the resonant resonant frequenc requencyy the capacitive reactance becomes reactance becomes the dominant part of the impedance. At higher frequencies, resistive losses in the windings increase due to skin to skin effect and effect and proximity proximity effect. effect.
A ferrite errite “bead” “bead” choke, choke, consistin consistingg ofan of an encirclingferri encirclingferrite te cylinder cylinder,, removes electronic noise from a computer power cord.
Inductors are used extensively in analog in analog circuits and circuits and signal processing. processing. Applications Applications range from the use of large inducto inductors rs in power power suppli supplies, es, which which in con conjunc junction tion with filter capacitors ter capacitors remove remove residual hums known as the mains hum or hum or other fluctuations from from the direct current output, to the small inductance of the ferrite bead or bead or torus torus in installed around a cable to prevent radio frequency interference from ference from being transmitted down the wire. Inductors
55
6.3. INDUCTOR INDUCTOR CONSTR CONSTRUCTION UCTION
ence (EMI), and most of all because of their bulk which ence (EMI), prevents them from being integrated on semiconductor chips, the use of inductors is declining in modern electronic devices, particularly compact portable devices. Real inductors are increasingly being replaced by active circuits such as the gyrator the gyrator which which can synthesize inductance using capacitors. capacitors. Example of signal signal filtering. In this configuration, configuration, the inductor blocks AC current, while allowing DC current to pass.
6.3
Induct Inductor or constr construct uctio ion n
Example of signal signal filtering. In this configuration, configuration, the inductor decouples DC decouples DC current, while allowing AC current current to pass.
are used as the energy storage device in many switchedmode power supplies to supplies to produce DC current. The inductor supplies energy to the circuit circuit to keep current flowing during the “off” switching switching periods. An inductor connected to a capacitor a capacitor forms forms a tuned a tuned circuit,, which acts as a resonator cuit resonator for for oscillating current. Tuned circuits are widely used in radio frequency equipfrequency equipment such as radio transmitters and receivers, as narrow bandpass filters to filters to select a single frequency from a composite signal, and in electronic in electronic oscillators to oscillators to generate sinusoidal signals. Two (or more) inductors in proximity that have coupled magnetic flux (mutual (mutual inductance) inductance) form a transformer a transformer,, which is a fundamental component of every electric utility powe utility powerr grid. The efficiency efficiency of a transformer transformer may decrease as the frequency increases due to eddy currents in the core material and skin and skin effect on effect on the windings. The size of the core can be decreased at higher frequencies. For this reason, aircraft use 400 hertz alternating current rather than the usual 50 or 60 hertz, allowing a great saving in weight from the use of smaller transformers. [5] Inductors are also employed in electrical transmission systems, where they are used to limit switching currents and fault and fault currents. currents. In this field, they are more commonly commonly referred to as reactors. Because inductors have complicated side effects (detailed below) which cause them to depart from ideal behavior, because they can radiate electromagnetic interfer-
A ferrite core inductor with two 47 mH windings.
An induc inductor tor usual usually ly cons consis ists ts of a coil coil of con conduc ductting material, typically insulated copper wire, wire, wrapped around a core a core either either of plastic or of a ferromagnetic ferromagnetic (or (or ferrimagnetic)) material; the latter is called an “iron core” ferrimagnetic inducto inductor. r. The high high permeability of permeability of the ferromagnetic core increases the magnetic field and confines it closely to the inductor, thereby increasing the inductance. Low frequency inductors are constructed like transformers, with cores of electrical of electrical steel laminated to prevent eddy prevent eddy currents.. 'Soft' ferrites currents 'Soft' ferrites are are widely used for cores above audio frequencies, frequencies , since they do not cause the large energy losses at high frequencies that ordinary iron alloys do. Induc Inductor torss come come in many many shapes shapes.. Most Most are conconstructed as enamel as enamel coated wire (magnet ( magnet wire) wire) wrapped around a ferrite a ferrite bobbin with bobbin with wire exposed on the outside, while some enclose the wire completely in ferrite and are referre referredd to as “shielde “shielded”. d”. Some inducto inductors rs have an adjustable core, which enables changing of the inductance. Inductors used to block very high frequencies frequencies are sometimes made by stringing a ferrite bead on a wire. Small inductors can be etched directly onto a printed cir-
56
CHAPTER 6. INDUCTOR INDUCTOR
cuit board bylayingoutthetraceina spiral pattern. Some vibration of the windings can cause variations in the incuit such planar inductors use a planar a planar core. core . ductance. Small value inductors can also be built on integrated on integrated circuits using cuits using the same processes that are used to make Radio frequency inductor transistors.. Aluminium transistors Aluminium intercon interconnec nectt is typicall typicallyy used, laid out in a spiral coil pattern. However, However, the small dimensions limit the inductance, and it is far more common to use a circuit circuit called a "gyrator "gyrator"" tha thatt uses uses a capacitor capacitor and and active components to behave similarly to an inductor.
6.4 6.4.1 6.4.1
Type Typess of indu induct ctor or Air core core induct inductor or
Collec Collectio tionn of RF induct inductors ors,, showin showingg techni technique quess to reduc reducee loss losses es.. The The three three top left left and and the the ferrite ferrite loopstick loopstick or rod antenna,[6][7][8][9] bottom, have basket windings.
At high At high frequencies, frequencies, particularly particularly radio radio frequencies (RF), frequencies (RF), inducto inductors rs have higher higher resistanc resistancee and other other losses. In addition to causing power loss, in resonant circuits this circuits this can reduce the Q factor of factor of the circuit, broadening the bandwidth.. In RF inductors, bandwidth inductors, which which are mostly mostly air core types, specialized construction techniques are used to minimize minimize these these losses. losses. The losses losses are due to these these effects:
• Skin effect: The resistance of a wire to high fre-
quency current is higher than its resistance to direct quency current to direct current because current because of skin of skin effect. effect. Radio frequency alternat ternating ing curre current nt does does not pene penetra trate te far into into the body body of a conductor but travels along its surface. Therefore, in a solid wire, most of the cross sectional area of the wire is not used to conduct the current, which is in a narrow annulus on the surface. This effect increases the resistance resistance of the wire in the coil, which may already have a relatively high resistance due to its length and small diameter.
• Proximity effect: Another similar effect that also Resonant oscillation transformer from a spark gap transmitter. Coupling can be adjusted by moving the top coil on the support rod. Shows high Q construction with spaced turns of large diameter tubing.
The term air core coil describes an inductor that does not use a magnetic a magnetic core made core made of a ferromagnetic material. The term refers to coils wound on plastic, ceramic, or other nonmagnetic forms, as well as those that have only air inside inside the windings. Air core coils have lower lower inductance than ferromagnetic core coils, but are often used at high frequencies because they are free from energy losses called core called core losses that losses that occur in ferromagnetic cores, which increase with frequency frequency.. A side effect that can occur in air core coils in which the winding is not rigidly rigidly supported on a form is 'microphony': 'microphony': mechanical mechanical
increases the resistance of the wire at high frequencies is proximity effect, effect, which occurs in parallel wires wires that lie close to eac eachh other. The individu individual al magnetic field of adjacent turns induces eddy currents in the wire wire of the coil coil,, which which cause causess the curre current nt in the conductor to be concentrated in a thin strip on the side near the adjacent wire. Like skin effect, this reduces the effective cross-sectional area of the wire conducting current, increasing its resistance.
57
6.4. TYPES TYPES OF INDUCTOR INDUCTOR
tubing which has a larger surface area, and the surface is silver-plated.
• Basket-weave Basket-weave coils: To reduc reducee proxi proximit mityy effec effectt and parasitic capacitance, multilayer RF coils are wound in patterns in which successive turns are not parallel but crisscrossed at an angle; these are often called honeycomb or basket-weave coils. These are occasionally wound on a vertical insulating supports with dowels or slots, with the wire weaving in and out through the slots.
• Spiderweb coils: High Q tank coil in a shortwave transmitter
Another construction construction technique technique with similar advantages is flat spiral coils.These coils.These are often wound on a flat insulating support with radial spokes or slots, with the wire weaving in and out through the slots; these are called spiderweb coils. The form has an odd number of slots, so successive turns of the spiral lie on opposite sides sides of the form, increasing separation.
• Litz wire: To reduce skin effect losses, some coils
(left) Spiderweb coil (right) Adjustable ferrite slug-tuned
RF coil with basketweave winding and litz wire
• Dielectric losses: The high frequency electric field
are wound with a special type of radio frequency wire called litz called litz wire. wire. Instead of a single solid conductor, litz wire consists of several smaller wire strand strandss that that carry carry the curre current. nt. Unli Unlike ke ordinar ordinaryy stranded wire, wire, the strands are insulated from each other, to prevent skin effect from forcing the current to the surface, and are twisted or braided together. gether. The twist twist pattern ensures ensures that that each wire strand spends the same amount of its length on the outside of the wire bundle, so skin effect distributes the current equally between the strands, resulting in a larger cross-sectional conduction area than an equivalent single wire.
near the conductors in a tank coil can cause the motion of polar molecules in nearby insulating materials, dissipating dissipating energy as heat. heat. So coils used for for tuned circuits are often not wound on coil forms but Ferromagnetic tic core inductor inductor are suspended in air, supported by narrow plastic or 6.4.2 Ferromagne ceramic strips. Ferroma Ferromagneti gnetic-co c-core re or iron-cor iron-coree inducto inductors rs use a magnetic core made core made of a ferromagnetic a ferromagnetic or or ferrimagnetic ferrimagnetic material material • Parasitic capacitance: The capacita capacitance nce between between or ferrite to to increase the inductance. inductance. A magindividual wire turns of the coil, called parasitic such as iron or ferrite neticc core core can can incr increa ease se the the indu induct ctan ance ce of a coil coil by a facto actorr capacitance,, does not cause energy losses but can neti capacitance change change the behavior behavior of the coil. Each turn of the of several thousand, by increasing the magnetic field due higher magnetic permeabi permeability lity.. Howev However er the magmagcoil is at a slightly different potential, so the electric the electric to its higher magnetic field between field between neighboring turns stores charge on the netic properties of the core material cause several side wire, so the coil acts as if it has a capacitor in par- effects which alter the behavior of the inductor and reallel with it. At a high enough frequency this capac- quire special construction: itance can resonate with the inductance of the coil losses : A time-varying current in a ferromag• Core losses: forming a tuned a tuned circuit, circuit , causing the coil to become netic inductor, which causes a time-varying magself-resonant.. self-resonant netic netic field field in its core, core, cause causess ener energy gy losses losses in the core core material that are dissipated as heat, due to two proTo reduce parasitic capacitance and proximity effect, RF cesses: coils are constructed to avoid having many turns lying • Eddy currents: close together, parallel to one another. The windings of currents : From Faraday’s From Faraday’s law of inducRF coils are often limited to a single layer, layer, and the turns tion,, the changing magnetic field can induce tion are spaced apart. To reduce resistance due to skin effect, circulating loops of electric current in the conin high-power inductors such as those used in transmitductive ductive metal core. The energy energy in these curters the windings are sometimes made of a metal strip or rents is dissipated as heat in the resistance resistance of of
58
CHAPTER 6. INDUCTOR INDUCTOR Laminated core inductor
A variety of types of ferrite core inductors and transformers
the core material. The amount of energy lost increases increases with the area inside the loop of current. Hysteresis: Changing Changing or reversi reversing ng the mag• Hysteresis: netic field in the core also causes losses due to the motion of the tiny magnetic tiny magnetic domains domains it it is composed of. The energy loss is proportional proportional to the area of the hysteresis loop in loop in the BH graph of the core material. Materials with low low coercivity have coercivity have narrow hysteresis loops and so low hysteresis losses. For For both both of these these proce processe sses, s, the ener energy gy loss loss per cycle of alternating current is constant, so core losses increase linearly with frequency with frequency.. Online [10] core loss calculators calculators are available to calculate the energy energy loss. loss. Using Using inputs such such as input voltage, output voltage, output current, frequency, ambient temperature, and inductance these calculators can predict the losses of the inductors core and AC/DC based on the operating condition of the circuit circuit being used. [11]
Laminated iron core ballast core ballast inductor inductor for a metal a metal halide lamp
Low-frequency inductors are often made with laminated cores to cores to prevent eddy currents, using construction similar to transformers to transformers.. The core is made of stacks of thin steel sheets or laminations or laminations oriented oriented parallel to the field, with an insulating coating on the surface. The insulation prevents eddy currents between the sheets, so any remaining currents must be within the cross sectional area of the individual laminations, reducing the area of the loop and thus reducing the energy losses greatly. greatly. The laminations are made of low-coerci low- coercivity vity silico siliconn steel steel,, to reduce hysteresis losses. Ferrite-core inductor
For higher frequencies, inductors are made with cores of ferrite.. Ferrite ferrite Ferrite isis a ceramic ceramic ferrimagnetic ferrimagnetic material material that is nonconductive, nonconductive, so eddy currents cannot flow within it. The formulation of ferrite is xxFe 2 O4 where xx represents various metals. For inductor cores soft cores soft ferrites are ferrites are Nonlinearity: ty: If the curr current ent through through a ferrom ferromagag- used, which have low coercivity • Nonlineari coercivity and and thus low hysteresis low hysteresis netic core coil is high enough that the magnetic core losses losses.. Another Another similar similar material material is powdered powdered iron cesaturates,, the inductance will not remain constant mented with a binder. saturates but will change with the current through the device. This is called nonlinearity nonlinearity and results results in distortion of the signal. For example, example, audio audio signals can signals can Toroidal core inductor suffer intermodula intermodulation tion distortion in distortion in saturated inductors. To prevent prevent this, this, in linear in linear circuits the circuits the current Main article: Toroidal article: Toroidal inductors and transformers through iron core inductors must be limited below In an inductor wound on a straight rod-shaped core, the the saturation level. level. Some laminated cores cores have a magnetic field lines emerging lines emerging from one end of the core narrow air gap in them for this purpose, and pow- must pass through the air to reenter the core at the other dered iron cores have have a distributed air gap. This al- end. end. This This reduce reducess thefie the field ld,, becau because se muc muchh of themag the magne netic tic lows higher levels of magnetic flux and thus higher field field path path is in air air rath rather er than than the the high higher er permeability core currents through the inductor before it saturates. [12] material. A higher magnetic field field and inductance can be
59
6.4. TYPES TYPES OF INDUCTOR INDUCTOR
impedance increas increases es with frequenc requency. y. Its low elec electrical trical resistance allows sistance allows both AC and DC to pass with little power loss, but it can limit the amount of AC passing through it due to its reactance its reactance.. 6.4.3 6.4.3
Variable ariable induct inductor or
Toroidal inductor in the power supply of a wireless router
achieved by forming the core in a closed magnetic circuit.. The magnetic field cuit field lines form form closed loops within the core without leaving the core material. The shape oftenusedisa toroidal or doughnu doughnut-sh t-shaped aped ferrite errite core core.. Because of their symmetry, toroidal cores allow a minimum of the magnetic flux to escape outside the core (called leakage flux ), ), so they radiate less electromagnetic less electromagnetic interference than ference than other shapes. Toroidal Toroidal core coils are manufactured of various materials, primarily ferrite, powdered iron and laminated cores. [13] Choke
Main article: Choke article: Choke (electronics) A choke choke is is designed specifically for blocking higher(left) Inductor with a threaded ferrite slug (visible at top)
that can be turned to move it into or out of the coil. 4.2 cm high. (right) A variometer used in radio receivers in the 1920s Probably the most common type of variable inductor to-
An MF or HF radio choke for tenths of an ampere, and a ferrite bead VHF choke for several amperes.
frequency alternating current (AC) in an electrical circuit, while allowing lower frequency or DC current to pass. It usually consists of a coil of insulated wire often wound on a magnetic a magnetic core, core, although some consist of a donut-shaped donut-shaped “bead” of ferrite ferrite material strung on a wire. Like other inductors, chokes resist changes to the current passing through them, and so alternating currents of higher frequency higher frequency,, which reverse direction rapidly, are resisted more than currents of lower frequency; the choke’s
A “roller coil”, an adjustable air-core RF inductor used in the tuned circuits of circuits of radio radio transmitter transmitters. s. One of the contacts contacts to the coil is made by the small grooved wheel, which rides on the wire. Turning the shaft shaft rotates the coil, moving the contact wheel up or down the coil, allowing more or fewer turns of the coil into the circuit, to change the inductance.
day is one with a moveable ferrite magnetic core, which
60
CHAPTER 6. INDUCTOR INDUCTOR
can be slid or screwed in or out of the coil. Moving the core farther into the coil increases the permeability permeability,, increasin creasingg the magnetic magnetic field field and the inductance inductance.. Many Many inductors used in radio applications (usually less than 100 MHz) use adjustable cores in order to tune such inductors to their desired value, since manufacturing processes have certain tolerances tolerances (inaccuracy). (inaccuracy). Sometimes Sometimes such cores for frequencies above 100 MHz are made from highly conductive non-magnetic material such as aluminum aluminum.. They They decrease decrease the inductance inductance because because the magnetic field must bypass them. Air core inductors can use sliding contacts or multiple taps to increase or decrease the number of turns included in thecircui thecircuit,t, to chang changee thein the induc ductan tance. ce. A type type muc muchh used used in the past but mostly obsolete today has a spring contact that can slide along the bare surface of the windings. The disadvantage disadvantage of this type is that the t he contact usually shortusually shortcircuits one circuits one or more turns. These turns act like a singleturn short-circuited short-circuited transf t ransformer ormer secondary secondary winding; winding ; the large currents induced in them cause power losses. A type of continuously variable air core inductor is the variometer . This This cons consis ists ts of two two coil coilss with with the the same same numnumber of turns turns con conne necte ctedd in serie series, s, one insid insidee the other. other. The The inner coil is mounted on a shaft so its axis can be turned with respect to the outer coil. When the two coils’ axes arecolline arecollinear, ar, with with themagneticfiel themagneticfields ds pointi pointing ng in thesam the samee direction, the fields add and the inductance is maximum. When the inner coil is turned so its axis is at an angle with the outer, the mutual inductance between them is smaller smaller so the total inductance is less. less. When the inner coil is turned 180° so the coils are collinear with their magnetic fields opposing, the two fields cancel each other and the induc inductan tance ce is very very small small.. This This type type has the advan advan-tage that it is continuously variable variable over a wide range. It is used in antenna in antenna tuners and tuners and matching circuits to match low frequency transmitters to their antennas. Another method to control the inductance without any moving parts requires an additional DC current bias winding which controls the permeability of an easily saturable core material. material. See See Magnetic Magnetic amplifier. amplifier .
v (t) = L
di(t) dt
When there is a sinusoidal sinusoidal alternating current (AC) through an inductor, a sinusoidal voltage voltage is induced. The amplitude of the voltage is proportional to the product of the amplitude ( I P) P) of the current and the frequency ( f ) of the current. i(t) = I P sin(2πf t) di(t) = 2πf I P cos(2πf t) dt v (t) = 2πfLI P cos(2πf t)
In this situation, the phase the phase of of the current lags that of the voltage by π/2 (90°). For sinusoids, sinusoids, as the voltage across the inductor goes to its maximum value, the current goes to zero, zero, andas thevoltage thevoltage acros acrosss thein the induc ductor tor goes goes to zero, zero, the current through it goes to its maximum value. If an inductor is connected to a direct current source with value I via via a resistance R, and then the current source is short-circuited, the differential relationship above shows that the current through the inductor will discharge with an exponential an exponential decay: decay: i(t) = I e
−
6.5.1 6.5.1
R L
t
Reac Reacta tanc ncee
The ratio of the peak voltage to the peak current in an inductor energised from a sinusoidal source is called the reactance and is denoted X L. L. The suffix is to distinguish inductive reactance from capacitive capacitive reactance reactance due to capacitance to capacitance.. X L =
V P 2πfLI P = I P I P
Thus,
6.5
Circ Circui uitt theo theory ry
The effect of an inductor in a circuit is to oppose changes in current through it by developing a voltage across it proporti portion onal al to the the rate rate of chan change ge of the the curr curren ent.t. An idea ideall ininductor would offer no resistance to a constant direct constant direct current;; however, only superconducting rent only superconducting inductors inductors have truly zero electrical zero electrical resistance. resistance . The relationship between the time-varying voltage v(t ) across an inductor with inductance L and the timevarying current i (t ) passing through it is described by the differential equation: equation:
X L = 2πf L
Reactance is measured in the same units as resistance (ohms) but is not actually a resistance. A resistance will dissipate energy as heat when a current passes. This does not happen with an inductor; rather, energy is stored in the magnetic field as the current builds and later returned to the circuit as the current falls. Inductive reactance is strongly frequenc frequencyy dependent. At low frequency frequency the reactance falls, and for a steady current (zero frequency) the inductor behaves as a short-circuit. At increasing frequency, on the other hand, the reactance increases and at a sufficiently sufficiently high frequenc frequencyy the inductor approaches approaches an open circuit.
6.6. Q FACTOR
6.5.2
Laplace Laplace circuit circuit analysis analysis (s-domain) (s-domain)
61 1 Leq
=
1 L1
+
1 L2
+
· · · + L1
n
When using the Laplace the Laplace transform in transform in circuit analysis, the The current through inductors in series in series stays stays the same, impedance of an ideal inductor with no initial current is but the voltage across each inductor can be different. The represented represented in the s domain by: sum of the potential differences (voltage) is equal to the total voltage. To find their total inductance: Z (s) = Ls
where
L1
is the inductance, inductance, and s is the complex frequency.
L
If the inductor does have initial current, it can be represented by:
•
Leq = L 1 + L2 +
· · · + L
L2 n
These simple relationships hold true only when there is adding a voltage source in series with the inductor, no mutual coupling of magnetic fields between individual having the value: inductors.
LI 0
6.5.4 6.5.4
where is the inductance, inductance, and I 0 is the initial current current in the inductor. L
(Note that the source should have a polarity that is aligned with the initial current )
• or by adding a current source in parallel with the inductor, having the value:
I 0 s
where is the initial current current in the inductor. s is the complex frequency.
I 0
Stored Stored energy energy
Neglecting Neglecting losses, the energy the energy (measured (measured in joules in joules,, in SI in SI)) stored by an inductor is equal to the amount of work required to establish the current through the inductor, and therefore the magnetic field. This is given by: E stored stored =
1 2 LI 2
where L is inductance and I is the current through the inductor. This relationship is only valid for linear (non-saturated) regions of the magnetic flux linkage and current relationship. In general if one decides to find the energy stored in a LTI a LTI inductor inductor that has initial current in a specific time between t0 and t1 can use this: t1
E = =
6.5.3 6.5.3
Induct Inductor or netwo networks rks
Main article: Series article: Series and parallel circuits
∫
P (t) dt =
t0
6.6
1 LI (t1 )2 2
− 12 LI (t0)2
Q factor factor
Inductors in a parallel a parallel configuration configuration each have the same An ideal inductor would have no resistance or energy potential difference difference (voltage). (voltage). To find their total equiva- losses. However, However, real inductors have winding resistance lent inductance ( Lₑ): from from the metal wire forming the coils. coils. Since the winding resistance appears as a resistance in series with the inductor, it is often called the series resistance . The inductor’s ductor’s series series resistan resistance ce con convert vertss electr electric ic curr current ent through through the coils coils into into heat, heat, thus thus causi causing ng a loss loss of induc inductitive ve quali quality. ty. The quality The quality factor (or factor (or Q ) of an inductor is the ratio of its L1 L2 Ln inductive reactance to its resistance at a given frequency, and is a measure of its efficiency. The higher the Q factor of the inductor, the closer it approaches approaches the behavior behavior
Ln
62
CHAPTER 6. INDUCTOR INDUCTOR
of an ideal, lossless, inductor. inductor. High Q inductors are used with capacitors to make resonant make resonant circuits in circuits in radio transmitters and receivers. The higher the Q is, the narrower the bandwidth the bandwidth of of the resonant circuit. The Q factor of an inductor can be found through the following formula, formula, where L is the inductan inductance, ce, R is the induc induc-tor’s effective series resistance, ω is the radian operating frequency, and the product ωL is the inductive reactance:
Q =
ωL R
• Induction coil • Induction cooking • Induction loop • RL circuit • RLC circuit • Magnetomotive force • Reactance (electronics) – (electronics) – opposition to a change of electric current or voltage
reactor – a type of adjustable inductor • Saturable reactor – Notice that Q increases increases linearly with frequency if L and • Solenoid R are constant. constant. Although they they are constant at low frefrequencies, the parameters vary with frequency. For example, skin ple, skin effect, effect, proximity effect, effect, and core losses increase frequency;; winding capacitance and variations variations in 6.9 R with frequency 6.9 Note otes permeability with frequency affect L. Qualitatively, at low frequencies and within limits, in- [1] Singh, Yaduvir (2011). Electro Magnetic Field Theory. Pearson Education India. p. 65. ISBN 65. ISBN 8131760618. 8131760618. creasing the number of turns N improves Q because L 2 varies as N while R varies linearly with N . Similarly, in- [2] Wadhwa, C. L. (2005). Electrical Power Systems. New creasing creasing the radius r of of an inductor improves Q because because Age International. p. 18. ISBN 18. ISBN 8122417221. 8122417221. 2 L varies as r while R varies linearly with r . So high Q air air core core induc inductor torss often often have have large large diame diameter terss and many many turns. turns. [3] Pelcovits, Robert A.; Josh Farkas (2007). Barron’s AP Barron’s Educatonal Educatonal Series. Series. p. 646. ISBN Physics C . Barron’s Both of those examples examples assume the diameter of the wire 0764137107.. 0764137107 stays the same, so both examples use proportionally more wire (copper). If the total mass of wire is held constant, [4] Purcell, Edward M.; David J. Morin (2013). Electricity and Magnetism. Cambridge Univ. Press. p. 364. ISBN then there would be no advantage to increasing the num1107014026.. 1107014026 ber of turns or the radius of the turns because the wire would have to be proportionally thinner. [5] “Aircraft electrical systems”. systems”. Wonde Wonderq rques uest.c t.com. om. Retrieved 2010-09-24. Using a high permeability ferromagnetic permeability ferromagnetic core core can greatly increase the inductance for the same amount of copper, [6] “An Unassuming Antenna - The Ferrite Loopstick”. Loopstick”. Raso the core can also increase the Q. Cores however also dio Time Traveller. January 23, 2011. Retrieved March introduce losses that increase with frequency. The core 5, 2014. material is chosen for best results for the frequency band. 2013). “What’s an appropria appropriate te At VHF At VHF or or higher frequencies an air core is likely to be [7] Frost, Phil (December 23, 2013). “What’s core material for a loopstick antenna?" antenna?". . Amateur Radio used. beta. Stack Exchange, Inc. Retrieved March 5, 2014. Induc Inductor torss wound wound aro around und a ferroma erromagne gnetic tic core core may may saturate at saturate at high currents, causing a dramatic decrease in [8] Poisel, Richard (2011). Antenna Systems and Electronic Warfare Applications. Arte Artech ch Hous House. e. p. 280. 280. ISBN inductance (and Q). This phenomenon can be avoided by 1608074846.. 1608074846 using a (physically larger) air core inductor. A well designed air core inductor may have a Q of several hundred. [9] Yadava, R. L. (2011). Antenna and Wave Propagation. PHI Learning Pvt. Ltd. p. 261. ISBN 261. ISBN 8120342917. 8120342917.
6.7
Induct Inductanc ancee formula ormulass
[10] Vishay. “Products Vishay. “Products - Inductors - IHLP inductor loss calculator tool landing page”. page”. Vishay. Retrieved 2010-09-24. [11] View: Everyone Only Notes. “IHLP Notes. “IHLP inductor loss calcu-
The table below lists some common simplified formulas lator tool”. tool”. element14. Retrieved 2010-09-24. for calculating the approximate inductance of several in[12] “Inductors 101” (PDF). 101” (PDF). vishay. Retrieved 2010-09-24. ductor constructions.
6.8 6.8
See also also
Gyrator – – a network element that can simulate an • Gyrator inductor
[13] “Inductor and Magnetic Product Terminology” (PDF). Terminology” (PDF). Vishay Dale. Retrieved 2012-09-24. [14] Nagaoka, Hantaro (1909-05-06). Hantaro (1909-05-06). “The “The Inductance Coefficients of Solenoids” (PDF) Solenoids” (PDF) 27. Journal of the College College of Science, Imperial University, Tokyo, Japan. p. 18. Retrieved 2011-11-10.
63
6.11. EXTERNAL EXTERNAL LINKS [15] Kenneth L. Kaiser, Electromagnetic Compatibility Handbook , p. 30.64, CRC Press, 2004 ISBN 2004 ISBN 0849320879. 0849320879. [16] [16] Rosa Rosa,, Edwa Edward rd B. (190 (1908) 8).. “The “T he Self Self and and Mutual Induc Inductance tancess of Line Linear ar Conductors Conductors”” (PDF). Bulletin letin of the Bure Bureau au of Standa Standards rds 4 (2): (2): 301– 301–34 344. 4. doi::10.6028/bulletin.088 doi [17] Rosa 1908, 1908, equation (11a), subst. radius ρ = d/2 and cgs units [18] Terman 1943, 1943, pp. 48–49, convert convert to natural logari logarithms thms and inches to mm. [19] Terman (1943, (1943, p. 48) states states for for l < < 100 d , include d /2 /2l within the parentheses. [20] [20] ARRL Handb Handbook ook,, 66th 66th Ed. Americ American an Radio Radio Relay Relay League (1989). [21] For the second formula, Terman formula, Terman 1943, 1943, p. 58 which cites to Wheeler to Wheeler 1928. 1928. [22] Terman 1943, 1943, p. 58 [23] Terman 1943, 1943, p. 57
6.10
•
Ref Referenc erences es
Terman, Frederic Frederickk (1943) (1943).. Handbook”. McGraw-Hill
“Radio “Radio Engi Engine neers ers’’
(Octoberr 1928). • Wheeler, H. A. (Octobe
“Simple “Simple InducInduc-
tance Formulae for Radio Coils”. Proc. I. R. E. 16 (10): 1398. doi 1398. doi::10.1109/JRPROC.1928.221309
6.11 6.11
Exte Extern rnal al link linkss
General
stuff ff wo work rkss Theini The initia tiall conc concept ept,, made made very very simsim• How stu ple
•
Capacitance Capacit anceand and Indu Inductan ctance ce –Achapterfromanonline textbook
models . Article on inductor inductor charac• Spiral inductor models. teristics and modeling.
• Online coil inductance calculator .
Online Online calcu calculalator calculates the inductance of conventional and toroidal coils using formulas 3, 4, 5, and 6, above.
• AC circuits • Understanding coils and transforms “Inductor”. Sixty Symbols. • Bowley, Roger (2009). “Inductor”. Brady Haran for Haran for the University the University of Nottingham. Nottingham.
• Inductors 101 Instructional Guide
Chapter 7
Diode For data diodes, see Unidirectional see Unidirectional network. network . For For other other unidirectional behavior is called rectification called rectification,, and is used uses, see Diodes see Diodes (disambiguation). (disambiguation). to convert alternating convert alternating current t current too direct current, current, includIn electronics In electronics,, a diode is a two-terminal two-terminal elec electronic tronic ing extraction of modulation of modulation from from radio signals in radio receivers—these diodes are forms of rectifiers of rectifiers.. However, diodes can have more complicated behavior than this simple on–off action, due to their nonlinear current-voltage current-voltage characteris characteristics. tics. Semiconductor Semiconductor diodes begin conducting electricity only if a certain threshold voltage or cut-in voltage is present in the forward direction (a state in which the diode is said to be forwardbiased ). ). The voltage drop across a forward-biased forward-biased diode varies only a little with the current, and is a function of temperature; this effect can be used as a temperature sensor or sor or voltage voltage reference. reference. Semiconductor diodes’ current–voltage characteristic can be tailored by varying the semiconductor materials and materials and doping,, introducing impurities into the materials. These doping Closeup of a diode, showing the square-shaped semiconductor techniques are used to create special-purpose diodes that crystal (black (black object on left). perform perform many different functions. functions. For example, diodes are are used used to regu regula late te volt voltag agee (Zene Zenerr diod diodes es), ), to protec protectt circircuits from high high volta voltage ge surge surgess (avalanc avalanche he diodes diodes), ), to to eleceleccomponent with asymmetric asymmetric conductance conductance;; it has low low (ide(ide- cuits tronically tune radio and TV receivers receivers (varactor ( varactor diodes), diodes), ally zero) resistance zero) resistance to to current current in in one direction, and high tronically to generate generate radio-frequency oscillations ( tunnel diodes, diodes, (ideally infinite (ideally infinite)) resistance in the other. A semiconducdiodes, IMPATT diodes), diodes), and to produce light a crystalline Gunn diodes, tor diode, the most common type today, is a crystalline diodes). Tunne Tunnel,l, Gunn and and IMPAT IMPATT T piece of semiconductor of semiconductor material material with a p–n a p–n junction conjunction con- (light-emitting diodes). [5] resistance, which is useful in nected nec ted to two electr electrica icall terminals terminals.. A vacuumtube diode diodes exhibit negative resistance, microwave and microwave and switching circuits. has two electrodes two electrodes,, a plate plate (anode) (anode) and a heated cathode.. Semiconductor ode Semiconductor diodes were were the first semiconductor first semiconductor electronic devices. devices . The discov discovery ery of crystals of crystals'' rectifying abilities was made by German physicist Ferdinand Braun 7.2 7.2 Histo istory ry in 1874. The first semico semiconduc nductor tor diodes, diodes, called called cat’s whisker diodes, diodes , developed around 1906, were made of Thermionic (vacuum tube) tube) diodes and solid and solid state (semistate (semimineral crystals such as galena. galena. Toda Today, y, most most diode diodess Thermionic are made of silicon silicon,, but other semiconductors such as conductor) diodes were developed separately, at approximatel matelyy the same same time, time, in theear the early ly 1900s, 1900s, as radio radio recei receive verr selenium or selenium or germanium germanium are are sometimes used. [6] detectors.. Until detectors Until the195 the 1950s 0s vac vacuum uum tube diodes diodes were were mor moree often used in radios because the early point-contact type semiconductor diodes (cat’s-whisker ( cat’s-whisker detectors) detectors ) were less 7.1 Main Main functio unctions ns stable, and because most receiving sets had vacuum tubes for amplification that could easily have diodes included The most common function of a diode is to allow an elec- in the tube (for example the 12SQ7 the 12SQ7 double diode triode), triode ), tric current to pass in one direction (called the diode’s and vacuum tube rectifiers and gas-filled rectifiers han forward forward direction), direction), while blocking current in the oppo- dledsome dled some high high voltage/ voltage/hig highh curr current ent rectifi rectificati cation on tasks tasks besite direction (the reverse direction). Thus, the diode can yond the capabilities of semiconductor diodes (such as be viewed as an electronic version of a check valve. valve. This selenium rectifiers) rectifiers) available at the time. 64
65
7.2. HISTOR HISTORY Y
Glass Envelope Plate (anode) Filament (cathode
Structure of a vacuum a vacuum tube diode. tube diode. The filament may be bare, or more commonl commonlyy (as shown shown here), here), embedded embedded within within and insulated insulated from an enclosing cathode.
Various Various semicon semiconductor ductor diodes. diodes. Bottom: Bottom: A bridge rectifier . In most diodes, a white or o r black painted band identifies the cathode the cathode terminal, that is, the terminal that positive charge (conventional ( conventional current ) will flow out of when the diode is conducting. [1][2][3][4]
7.2.1 7.2.1
Vacuum acuum tube tube diode diodess
Further information: Vacuum information: Vacuum tube In 1873, 1873, F Frederic rederickk Guthrie discove discovered red the basic basic princip principle le of operation of thermionic diodes. [7][8] Guthrie discovered that a positively charged electroscope electroscope could could be discharged by bringing a grounded a grounded piece piece of white-hot metal close close to it (but not actually actually touching touching it). The same did not apply to a negatively charged electroscope, indicating
that the current flow was only possible in one direction. Thomas Edison independently Edison independently rediscovered the principle on Februar Februaryy 13, 1880. At the time, time, Edison was investigating why the filaments of his carbon-filament light bulbs nearly always burned out at the positive-connected end. end. He had had a spec specia iall bulb bulb made made with with a meta metall plat platee seal sealed ed into the glass envelope. Using this device, he confirmed that an invisible current flowed from the glowing filament through the vacuum the vacuum to to the metal plate, but only when the plate was connected to the positive supply. Edison devised a circuit where his modified light bulb effectively replaced the resistor in a DC a DC voltmeter voltmeter.. Edison was awarded a patent for this invention invention in 1884. [9] Since there was no apparent practical use for such a device at the time, the patent application was most likely simply a precaution in case someone else did find a use for the so-called Edison so-called Edison effect. effect . About 20 years later, John later, John Ambrose Fleming (scientific Fleming (scientific adviser to the Marconi the Marconi Company and Company and former Edison employee) realized that the Edison effect could be used as a precision radio detector. detector. Flemi Fleming ng patente patentedd the first first true thermionic diode, the Fleming valve, valve, in Britain on [10] November 16, 1904 (followed by U.S. by U.S. Patent 803,684 in November 1905).
66
CHAPTER CHAPTER 7. DIODE DIODE
7.2.2 7.2.2
Solid-st Solid-state ate diode diodess
• Flyback diodes
In 1874 German scientist Karl Ferdin Ferdinand and Braun discovBraun discovered the “unilateral conduction” conduction” of crystals.[11][12] Braun 7.3 Thermi Thermioni onicc diode diodess patented the crystal rectifier in 1899. [13] Copper oxide and selenium and selenium rectifiers were rectifiers were developed for power applications in the 1930s. Indian scientist Jagadish Chandra Bose was the first to use a crystal for detecting radio waves in 1894. [14] The crystal detector was detector was developed into a practical device for wireless telegraphy by telegraphy by Greenleaf Greenleaf Whittier Pickard, Pickard , who invented a silicon a silicon crystal crystal detector in 1903 and received a patent for it on November 20, 1906. [15] Other experimenters tried a variety of other substances, substances, of which the most widely used was the mineral galena galena ( (lead lead sulfide). sulfide ). Other substances offered slightly better performance, but galen galenaa was was most most wide widely ly used used becau because se it had the advan advantag tagee of being cheap and easy to obtain. The crystal detector in these early crystal early crystal radio sets radio sets consisted of an adjustable wire point-contact (the so-called “cat’s whisker”), which could be manually moved over the face of the crystal in order to obtain optimum signal. This troublesome device was superseded by thermionic diodes by the 1920s, but after high purity semiconductor semiconductor materials became available, the crystal detector returned to dominant use with the advent of inexpensive fixed-germanium fixed- germanium diodes diodes in the 1950s. Bell 1950s. Bell Labs also Labs also developed a germanium diode for microwave microwave reception, reception, and AT&T used these in their microwave towers that criss-crossed the nation starting in the late 1940s, carrying telephone and network television signals. Bell Labs did Labs did not develop a satisfactory Diode vacuum tube construction thermionic diode for microwave reception. 7.2.3 7.2.3
Glass tube
Anode
Heated cathode Heater
Etym Etymol olog ogy y
At the time t ime of their invention, invention, such devices were known as rectifiers as rectifiers.. In 1919, 1919, the the year year tetrodes tetrodes were were invented, William Henry Eccles coined the term diode from the Greek roots di (from (from δί ),), meaning “two”, and ode (from (However, the word diode itself, ὁδός), meaning “path”. (However, triode , , tetrode tetrode , , pentode pentode , , hexode hexode, was already in as well as triode use as a term of multiplex of multiplex telegraphy; telegraphy; see, for example, The telegraphic journal and electrical review , September 10, 1886, p. 252). Rectifiers
Main article: Rectifier article: Rectifier Although all diodes rectify, the term 'rectifier ' rectifier'' is normally reserved for higher currents and voltages than would nor- The symbol for an indirect heated vacuum-tube diode. From top mally be found in the rectification of lower power signals signals;; to bottom, the components are the anode, the cathode, and the examples include: heater filament. Power er • Pow
bridge)
supply sup ply rectifi rectifiers ers (half-wave, full-wave, A thermionic diode is a thermionic-valve device thermionic-valve device (also known as a vacuum tube, tube, tube, or valve), consisting
67
7.4. SEMICONDUCTOR SEMICONDUCTOR DIODES
of a sealed evacuated glass envelope containing two electrodes:: a cathode electrodes cathode heated heated by a filament filament,, and a plate a plate (anode anode).). Early examples examples were fairly fairly similar similar in appearance to incandescent to incandescent light bulbs. bulbs . In operation, a separate current through the filament (heater), a high resistance wire made of nichrome nichrome,, heats the cathode red hot (800–1000 °C), causing it to release electrons into electrons into the vacuum, a process called thermionic emission.. The cathode is coated with oxides emission oxides of of alkaline alkaline earth metals such metals such as barium as barium and and strontium strontium oxides, oxides, which have a low work low work function, function, to increase the number of electrons emitted. (Some valves use direct heating , in which a tungsten filament acts as both heater and cathode.) The alternating voltage to be rectified is applied between the cathode cathode and the concentri concentricc plate plate electro electrode. de. When When the plate has a positive voltage with respect to the cathode, it electrostatically it electrostatically attracts attracts the electrons from the cathode, so a curre current nt of elec electro trons ns flows flows throu through gh the tube tube from cathcathode to plate. However However when the polarity is reversed and the plate has a negative voltage, no current flows, because the catho cathode de elec electro trons ns are not attrac attracted ted to it. it. The unhea unheated ted plate does not emit any electrons itself. So electrons can only flow through the tube in one direction, from cathode to plate. In a mercury-arc valve, valve, an arc forms between a refractory conductive anode and a pool of liquid mercury acting as cathode. Such units were made with ratings up to hundreds of kilowatts, and were important in the development of HVDC of HVDC pow power er transmiss transmission. ion. Some types types of smaller thermionic rectifiers sometimes had mercury vapor fill to reduce their forward voltage drop and to increase current rating over thermionic hard-vacuum devices. Throughout the vacuum tube era, valve diodes were used in analo analogg signa signall appli applica catio tions ns and as rectifi rectifiers ersin in DC powe powerr supplies in consumer electronics such as radios, televisions, and sound systems. They were replaced in power supp supplilies es begin beginnin ningg in the 1940s 1940s by seleni selenium um rectifie rectifiers rs and then by semiconductor semiconductor diodes by the 1960s. Today they they are still used in a few high power applications where their ability to withstand transients and their robustness gives them an advantage over semiconductor semiconductor devices. devices. The recent (2012) resurgence of interest among audiophiles among audiophiles and and recording studios in old valve audio gear such as guitar amplifiers and amplifiers and home audio systems has provided a market for the legacy consumer diode valves.
symbols for some types of diodes, though the differences are minor.
• Diode Diode (LED) (LED) • Light Emitting Diode • Photodiode • Schottky diode Suppression (TVS) • Transient Voltage Suppression (TVS) • Tunnel diode • Varicap • Zener diode packages in same alignment as diode • Typical diode packages symbol. Thin bar depicts depicts the cathode the cathode..
7.4.2 7.4.2
Point Point-con -contac tactt diode diodess
A point-contact diode works the same as the junction diodes described below, but their construction is simpler. A block of n-type semiconductor semiconductor is built, and a conducting sharp-point contact made with some group-3 metal is placed in contact with the semiconductor. semiconductor. Some metal migra migrates tesint intoo these the semic micond onduc uctor tor to make make a small smallre regio gionn of p-type semiconductor semiconductor near the contact. The long-popular 1N34 1N34 germa germaniu nium m vers versio ionn is still still used used in radio radio rece receiv ivers ers as a detecto detectorr and occ occasi asional onally ly in special specialized ized analog analog electro electronnics. 7.4.3 7.4.3
Juncti Junction on diode diodess
p–n junction diode
Main article: p–n article: p–n diode
A p–n junc unctio tion diod diodee is made ade of a crys rystal tal of semiconductor,, usual semiconductor usually ly silico silicon, n, but germanium and gallium arsenide are arsenide are also used. Impurities are added to it to create a region on one side that contains negative charge carriers (electrons), carriers (electrons), called n-type called n-type semiconductor, semiconductor , anda and a regio regionn on theoth the other er side side that that con contai tains ns positi positive vech char arge ge carriers (holes (holes),), called p-type called p-type semiconductor. semiconductor . When two materials i.e. n-type and p-type are attached together, a momen momentar taryy flow flow of elec electro trons ns occ occur ur from romnn to p side side resu resultlting in a third region where no charge carriers are present. 7.4 Semic Semicon onduc ductor tor diode diodess This region is called the depletion the depletion region due region due to the absence of charge carriers (electrons and holes in this case). 7.4.1 7.4.1 Electro Electronic nic symbol symbolss The diode’s terminals are attached to the n-type and ptype regions. The boundary between these two regions, Main article: Electronic article: Electronic symbol called a p–n a p–n junction, junction, is where the action of the diode takes place. The crystal allows electrons to flow from the The symbol used for a semiconductor diode in a circuit N-type side (called the cathode the cathode)) to the P-type side (called diagram specifies diagram specifies the type of diode. There are alternative the anode the anode),), but not in the opposite direction.
68
CHAPTER CHAPTER 7. DIODE DIODE
continues to act as an insulator, preventing any significant electric current flow (unless electron–hole pairs are actively being created in the junction by, for instance, light; Main article: Schottky article: Schottky diode see photodiode see photodiode). ). This This is the reverse bias phenomenon. Another type of junction diode, the Schottky diode, diode , is However, if the polarity of the external voltage opposes recombination can once again proformed from a metal–semiconductor a metal–semiconductor junction rather junction rather than the built-in potential, recombination ceed, resulting in substantial substantia l electric current through the a p–n junction, which reduces capacitance and increases p–n junction (i.e. substantial substantial numbers of electrons electrons and switching speed. holes recombine recombine at the junction). junction). For silicon diodes, diodes, the built-in potential is approximately 0.7 V (0.3 V for germanium manium and 0.2 V for for Schottky). Schottky). Thus, if an external external 7.4.4 Current–volt Current–voltage age characteristi characteristicc current passes through the diode, the voltage across the diode increases logarithmic with the current such that the P-doped region is positive with respect to the N-doped region and the diode is said to be “turned on” as it has a forward bias . The diode diode is commonly commonly said said to have have a forward “threshold” voltage, which it conducts above and is cutoff below. However, this is only an approximation as the forward characteristic is according to the Shockley equation absolutely smooth (see graph below). A diode’s I–V diode’s I–V characteristic can characteristic can be approximated by four regions of operation: Schottky diode
I–V (current vs. voltage) characteristics of a p–n junction diode
A semiconductor diode’s behavior in a circuit is given by its current–v current–voltage oltage characte characteristic ristic,, or I–V graph (see graph graph below). below). The shape shape of the curve curve is determined determined by the transport of charge carriers through the so-called or depletion region that exists at the p–n the p–n depletion layer or junction between junction between differing differing semiconductors. semiconductors. When a p–n junction is first created, conduction-band (mobile) electrons from the N-doped N- doped region region diffuse into the P-doped P- doped region where there is a large population of holes (vacant places for electrons) with which the electrons “recombine”. combine”. When a mobile electron electron recombine recombiness with a hole hole,, both both hole hole and elec electro tronn vanis vanish, h, leav leaving ing behin behindd an immobile positively charged donor (dopant) on the N side and negatively charged acceptor (dopant) on the P side. The region around the p–n junction becomes depleted of charge carriers and carriers and thus behaves as an insulator an insulator.. However, the width of the depletion region (called the depletion width) width) cannot grow grow without without limit. limit. For each each electron–hole pair that pair that recombines, recombines, a positively positively charged dopant ion dopant ion is left behind in the N-doped region, and a negatively charged dopant ion is left behind in the Pdoped region. As recombination recombination proceeds more ions are created, an increasing electric field develops through the depletion zone that acts to slow and then finally stop recombination. combination. At this point, there is a “built-in” potential across the depletion zone. If an external voltage voltage is placed across the diode with the same polarity as the built-in potential, the depletion depletion zone
1. At very large reverse bias, beyond the peak inverse voltage or voltage or PIV, a process called reverse reverse breakdown breakdown occurs that causes a large increase in current (i.e., a large number of electrons and holes are created at, and move away from the p–n junction) that usually damages the device device permanently. permanently. The avalanche diode is diode is deliberately designed for use in the avalanche region. In the Zener the Zener diode, diode, the concept of PIV is not applicable. A Zener diode contains a heavily doped p–n junction allowing electrons to tunnel from the valence band of the p-type material to the conduction band of the n-type material, such that the reverse voltage is “clamped” to a known value (called the Zener voltage), and avalanche avalanche does not occur. Both devices, however, however, dohavealimittothemaximumcurrentandpowerin the clamped reverse-voltage region. Also, following the end of forward conduction in any diode, there is reverse current for for a short time. The device does not attain attain its full block blocking ing capab capabili ility ty until until there the reve verse rse current ceases. 2. At reverse biases more positive than the PIV, has only a very small reverse saturation current. In the reverse bias region for a normal P–N rectifier diode, the current through the device is very low (in the µA range). However, However, this is temperature dependent, and at sufficiently high temperatures, a substantial amount of reverse current can be observed (mA or more). 3. With a small forward bias, where only a small forward ward curr current ent is conducte conducted, d, the curr current–v ent–volta oltage ge curve curve is exponential is exponential in in accordance with the ideal diode equation equation.. There There is a definite definite forw forward ard voltage voltage at
7.4. SEMICONDUCTOR SEMICONDUCTOR DIODES
69
which the diode starts to conduct conduct significantly. significantly. This the charge carriers cross the depletion the depletion region. region. is called the knee voltage or cut-in voltage and is By setting n = 1 above, the equation reduces to equal to the barrier the barrier potential of potential of the p-n junction. the Shockley ideal diode equation . This is a feature of the exponential curve, and is seen seen more more promi promine nentl ntlyy on a curre current nt scal scalee more more comcom- The thermal The thermal voltage V T is approximately 25.85 mV at pressed than in the diagram here. 300 K, a temperature close to “room temperature” comsimulation software. software. At any tem4. At larger forward forward currents currents the current-voltage current-voltage curve monly used in device simulation starts starts to be domin dominate atedd by the ohmic ohmic resi resista stanc ncee of the perature it is a known constant defined by: bulk semiconductor. The curve is no longer exponenti nential, al, it is asymp asymptoti toticc to a strai straight ght line line whose whose slope slope is the bulk resistance. This region is particularly im- V = kT , q portan portantt for powe powerr diode diodes. s. Theeff The effec ectt can be model modeled ed T as an ideal diode in series with a fixed resistor. where k is is the Boltzmann the Boltzmann constant, constant, T is is the absolute temIn a small silicon diode at rated currents, the voltage drop perature of the p–n junction, and q is the magnitude of an electron (the (the elementary elementary charge). charge ). is about 0.6 to 0.7 volts 0.7 volts.. The value is different for other charge of an electron diode types—Schottky types—Schottky diodes can diodes can be rated as low as 0.2 The reverse saturation current, I S, is not constant for a V, germaniu germanium m diodes diodes 0.25 to 0.3 V, and and red or blue light- given device, but varies with temperature; usually more emitting diodes (LEDs) diodes (LEDs) can have values of 1.4 V and 4.0 significantly than V T, T, so that V D typically decreases as T V respectively. increases. At higher currents the forward voltage drop of the t he diode The Shockley ideal diode equation or the diode law is deincreases. A drop of 1 V to 1.5 V is typical at full rated rived with the assumption that the only processes giving current for power diodes. rise to the current in the diode are drift (due to electrical electrical field), diffusion, and thermal recombination–generation (R–G) (this equation is derived derived by setting n = 1 above). It 7.4.5 7.4.5 Shockl Shockley ey diode diode equati equation on also assumes that the R–G current in the depletion region is insignificant. insignificant. This means that the Shockley ideal diode Shockley ideal ideal diode equation or the diode law law equation doesn't account for the processes involved in reThe Shockley (named after transistor co-inventor William Bradford verse breakdown and photon-assisted R–G. Additionally, Shockley)) give Shockley givess the the I–V I–V char charac acte teri rist stic ic of an idea ideall diod diodee in it doesn't describe the “leveling off” of the I–V curve at either forward or reverse bias (or no bias). The following high forward forward bias bias due to internal resistance. resistance. Introducequation is called the Shockley ideal diode equation when ing the ideality factor, n, accounts for recombination and n, the ideality factor, is set equal to 1 : generation of carriers. Under reverse bias voltages the exponential in the diode equation is negligible, and the current is a constant (negaI = I S eV D /(nV T ) − 1 , tive) reverse current value of − IS . The reverse breakdown region is not modeled by the Shockley diode equation. where For even rather small forward bias voltages the exponential is very large, since the thermal voltage is very small I is is the t he diode current, current, in comparison. The subtracted '1' in the diode equation I S is the reverse bias saturation current (or is then negligible and the forward diode current can be scale current), approximated by V D is the voltage across the diode, the thermal voltage, voltage, and V T is the thermal I = I S eV D /(nV T ) n isthe ideality factor ,alsoknownasthe , alsoknownasthe quality or sometimes emission coefficient . The factor factor or The use of the diode equation in circuit problems is illusideality factor n typically varies from 1 to 2 trated in the article on diode on diode modeling. modeling . (though can in some cases be higher), depending on the fabrication process and semiconductor material and in many cases is assumed to 7.4.6 7.4.6 Small-si Small-signa gnall beha behavior vior be approximately approximately equal to 1 (thus the notation n is omitted). The ideality factor does not form part of the Shockley ideal diode equation , and For circuit design, design, a small-signal small-signal model of the diode bewas added to account for imperfect junctions havior often proves proves useful. useful. A specific example example of diode as observed observed in real transisto transistors. rs. The factor factor is modeling is discussed in the article on small-signal cirmainly accounting for carrier for carrier recombination as recombination as cuits.. cuits
�
�
70 7.4.7
CHAPTER CHAPTER 7. DIODE DIODE
Reverse-rec Reverse-recov overy ery effect effect
Following the end of forward conduction in a p–n type diode, a reverse current current can flow for for a short time. The devic devicee does does not attain attain its bloc blockin kingg capab capabilility ity until until the mobile charge in the junction is depleted. The effect can be significant when switching large currents very quickly. [16] A certain amount of “reverse recovery covery time” tᵣ (on the order of tens of nanoseconds nanoseconds to a few micr microse oseco cond nds) s) may may be requ requir ired ed to remo remove ve the reve reverse rse recovery recovery charge Qᵣ from the diode. During this recovery time, the diode can actually conduct in the reverse direction. This might give give rise to a large constant current in the reverse direction for a short period of time and while the diode is reverse reverse biased. The magnitude of such reverse current is determined by the operating circuit (i.e., the series resistance) and the diode is called to be in the storage-phase.[17] In certa certain in real real-w -wor orld ld case casess it can can be imimportant to consider the losses incurred by this non-ideal diode effect.[18] However, when the slew the slew rate of rate of the current is not so severe (e.g. Line frequency frequency)) the effect can be safely ignored. For most applications, the effect is also negligible for Schottky for Schottky diodes. diodes. The reverse current ceases abruptly when the stored charge is depleted; this abrupt stop is exploited in step recovery diodes for diodes for generation of extremely short pulses.
7.5
A D
B
Types Types of semic semicond onduct uctor or diode diode
A
C Typical datasheet drawing showing the dimensions of a DO-41 diode package
electrodes, electrodes, are just an application application of a diode in a special circuit, or are really different devices like the Gunn and laser diode and the MOSFET the MOSFET:: Normal (p–n) diodes, which operate as described above, Several types of diodes. The scale is centimeters. are usual usually ly made made of doped doped silicon or, more rarely, rarely, There There are seve several ral types types of p–n junc junction tiondiod diodes es,, whic whichh emem- germanium germanium.. Before Before the develop developmen mentt of silicon silicon power power phasize either a different physical aspect of a diode of- rectifier diodes, cuprous oxide and oxide and later selenium was ten by geometric scaling, doping level, choosing the right used; its low efficiency gave it a much higher forward
71
7.5. TYPES TYPES OF SEMICOND SEMICONDUCTOR UCTOR DIODE DIODE
voltage drop (typically 1.4 to 1.7 V per “cell”, with multiple cells stacked to increase the peak inverse voltage rating in high voltage rectifiers), and required a large heat sink (often an extension of the diode’s metal substrate substrate),), much larger than a silicon diode of the same current ratings ings woul wouldd requir require. e. The The vast vast major majority ityof of all diode diodess are the p–n diodes found in CMOS in CMOS integrated circuits, circuits , which include two diodes per pin and many other internal diodes. Avalanche diodes These are diodes that conduct in the reverse direction when the reverse bias voltage exceeds the breakdown voltage. These are electrically very very simil similar ar to Zener Zener diode diodess (and (and areof are often tenmis mis-takenly called Zener diodes), but break down by a differe different nt mechanism: mechanism: the avalanche ef fect fect . This occurs when the reverse electric field across the p–n junction causes a wave of ionization, reminiscent of an avalanche, leading to a large current. Avalanche diodes are designed to break down at a well-defined reverse voltage without being being destroyed. destroyed. The differenc differencee between the avalanche diode (which has a reverse breakdown above about 6.2 V) and the Zener is that the channel length of the former exceeds the mean free path of the electrons, so there are collisions between them on the way out. The only practical practical difference difference is that the two types have temperature coefficients of opposite polarities. Cat’s whisker or crystal diodes These are a type of point-contact diode. The cat’s whisker whisker diode consists of a thin or sharpenedd metal ene metal wirepre wire pressed ssed against against a semicon semiconduc ductting crystal, typically typically galena galena or or a piece of coal of coal.. The wire wire form ormss the anode anode and the crysta crystall form ormss the cathode. cathode. Cat’s whiske whiskerr diodes were were also called crystal diodes and found application in crystal radio receivers. receivers . Cat’s whis whiske kerr diodes diodes are generally obsolete, but may be available from a few manufacturers. Constant current diodes These are actually JFETs[19] with the gate shorted to the source, and function function like a twot woterminal current-limiting current-limiting analog to t o the voltagelimiting limiting Zener Zener diode. They They allow a current current thro throug ughh them them to rise rise to a certa certain in valu value, e, and and then then level level off at a specific value. Also called CLDs, constant-current diodes , diode-connected transistors, or current-regulating diodes . Esaki or Esaki or tunnel tunnel diodes
These These have have a regio regionn of operat operatio ionn show showing ing negative resistance caused resistance caused by quantum by quantum tunneling,,[20] allowing amplification of signals and ing very simple bistable circuits. Due to the high carrier concentration, tunnel diodes are very fast, may be used at low (mK) temperatures, high high magneti magneticc fields fields,, and in high high radiatio radiationn [21] environments. Because of these properties, they are often used in spacecraft. Gunn diodes These are similar to tunnel diodes in that they are made of materials such as GaAs or InP that exhibit a region of negative of negative differential resistance.. With appropriate biasing, dipole dosistance mains form and travel across the diode, allowing high frequency microwave frequency microwave oscillators to oscillators to be built. Light-emitting diodes (LEDs) diodes (LEDs) In a diode formed from a direct band-gap semiconductor, semiconductor, such as gallium as gallium arsenide, arsenide, carriers that cross the junction emit photons emit photons when when they recombine with the majority carrier on the other other side. side. Dependin Dependingg on the material, material, [22] wavelengths (or colors) from the infrared the infrared to the near ultraviolet may ultraviolet may be produced. [23] The forw orward ard poten potentia tiall of these these diode diodess depends on the wavelength of the emitted photons: 2.1 V corresponds to red, 4.0 V to violet. olet. The first first LEDs were were red and yell yellow ow,, and higher-frequency diodes have been developed over over time. All LEDs produce produce incoherincoherent, narrow-spectrum light; “white” LEDs are actually combinations of three LEDs of a different color, or a blue LED with a yellow scintillator co scintillator coati ating. ng. LEDs LEDs can also also be used as low-efficiency photodiodes in signal applications. An LED may be paired with a photodiode or phototransistor in the same package, to form an opto-isolator an opto-isolator.. Laser diodes When an LED-like structure is contained in a resonant cavity formed formedby by polishi polishing ng the parallel parallel end faces, a laser a laser can can be formed. Laser diodes are commonly used in optical in optical storage devices storage devices and for high speed optical speed optical communication. communication . Thermal diodes This term is used both for conventional p– n diodes used to monitor temperature due
72
CHAPTER CHAPTER 7. DIODE DIODE
to their varying forward voltage with temperat perature ure,, and for Pelti Peltier er hea heatt pump pumpss for thermoelectric heating and cooling. cooling . Pelti eltier er heat pumps may be made from semiconductor, though they do not have any rectifying junctions, they use the differing behaviour of charge carriers in N and P type semiconductor to move heat. Photodiodes All semic semicon onduc ductor torss are sub subject ject to optic optical al charge cha rge carr carrier ier gene genera rati tion on.. This This is typi typi-cally an undesired effect, so most semiconductors are packaged in light blocking materia terial.l. Photod Photodiod iodes es are inten intende dedd to sense sense light(photodetector light(photodetector), ), so they are packaged in materials that allow light to pass, and are usually PIN (the kind of diode most sensitive to light). [24] A photodiode can be used in solar cells,, in photometry cells in photometry,, or in optical in optical communications.. Multiple photodiodes may be packaged tions in a single device, either either as a linear array or as a two-dimensional array. These arrays should not be confused confused with charge-coupled with charge-coupled devices. devices . PIN diodes A PIN diode has a central un-doped, or intrinsic , layer, forming a p-type/intrinsic/n-type p-type/intrinsic/n-type [25] structure. They are used as radio frequency switches switches and attenuators. attenuators. They are also also used as large-volume, ionizing-radiation detectors and as photodetectors as photodetectors.. PIN diod diodes es are are also also used in power in power electronics, electronics , as their central layer can withstand high voltages. voltages. Furthermore, the PIN structure can be found in many power semiconductor devices, devices , such as IGBTs as IGBTs,, power MOSFETs,, and thyristors MOSFETs and thyristors.. Schottky diodes Schottky diodes are constructed from a metal Schottky diodes to semiconductor semiconductor contact. They have a lower lower forward voltage drop than p–n junction diodes. Their forward voltage drop at forward currents of about 1 mA is in the range 0.15 V to 0.45 V, which makes them useful in voltage clamping age clamping applications and prevention of transistor saturation. They can also be used as low loss rectifiers loss rectifiers,, although their reverse leakage current is in general higher than that of other other diodes. diodes. Schottky Schottky diodes diodes are are majority carrier devices carrier devices and so do not suffer from minority carrier storage problems that slow down many other diodes—so they have a faster reverse recovery than p–n junction diodes. They
also tend to have much lower lower junction capacitance than p–n diodes, which provides for high switching speeds and their use in high-speed circuitry and RF devices such as switchedmode power supply, supply , mixers mixers,, and detectors and detectors.. Super barrier diodes Super Super barrie barrierr diode diodess are rectifi rectifier er diode diodess that that incorporate the low forward voltage drop of the Schottky diode with the surge-handling capability and low reverse leakage current of a normal p–n junction diode. Gold-doped Gold -doped diodes As a dopant, gold (or platinum (or platinum)) acts as recombination centers, which helps a fast recombination of minority carriers. This allows the diode to operate at signal frequencies, at the expense of a higher higher forward voltage drop. Gold-doped Gold-doped diodes are faster than other p–n diodes (but not as fast as Schottky diodes). They also have less reverse-current leakage than Schottky diodes (but not as good as other p–n diodes). [26][27] A typical example example is the 1N914. Snap-off or Step or Step recovery diodes The term step recovery relates to the form of the reverse recovery characteristic of these devices vices.. After After a forw orward ard curre current nt has been been passi passing ng in an SRD an SRD and and the current is interrupted or reversed, the reverse reverse conduction will cease very abruptly (as in a step wavef waveform). orm). SRDs can, therefore, provide very fast voltage transitions by the very very sudd sudden en disap disappe peara aranc ncee of the char charge ge carriers. Stabistors or Stabistors or Forward Reference Diodes The term stabistor refers refers to a special type of diodes featuring extremely stable forward stable forward voltage chara age characte cteristi ristics. cs. These These devices devices are specially designed for low-voltage stabilization applica plicatio tions ns requ requiri iring ng a guaran guarantee teedd volta voltage ge over over a wide current range and highly stable over temperature. Transient voltage suppression diode (TVS) diode (TVS) These are avalanche diodes designed specifically to protect other semiconductor devices from from high-voltage high-voltage transients. transients.[28] Their Their p–n junctions have a much larger cross-sectional area than those of a normal diode, allowing them to conduct large currents to ground without sustaining damage.
7.7. RELATED RELATED DEVICES DEVICES
73
Varicap or Varicap or varactor diodes
have have a 1-pre 1-prefix fix designa designatition on (e.g., (e.g., 1N4003 1N4003). ). Among Among the most popular popular in this series were: were: 1N34A/1N27 1N34A/1N2700 (germaniu (germanium m signal) signal),, 1N914/ 1N914/1N4148 (silicon (silicon signal), 1N4001−1N4007 1N4007 (sili (silico conn 1A powe powerr rectifi rectifier er)) and [29][30][31] 1N54xx (silicon 3A power rectifier)
The These are are used used as volt voltag agee-co cont ntro rolllled ed capacitors.. Thes capacitors Thesee are are impo import rtan antt in PLL PLL (phase-loc phase-locked ked loop loop)) and FLL (frequency( frequencylocked loop) loop ) circuits, allowing tuning circuits, such as those in television receivers, to lock quickly. quickly. They also enabled tunable oscillators oscillators in early early discr discrete ete tuning tuning of radio radios, s, wher wheree a cheap and stable, but fixed-frequency, crystal oscillator provided the reference frequency for a voltage-controlled oscillator. oscillator .
These can be made to conduct in reverse bias (backward), and are correctly termed reverse breakdown breakdown diodes. diodes. This effect, effect, called Zener breakdown,, occurs at a precisely defined voltbreakdown age, age, allow allowing ing thediode thediode to be used used as a prec precis isio ionn volta voltage ge ref referen erence ce.. Theter The term m Zener Zener diode diode is colcolloquially applied to several types of breakdown diodes, but strictly speaking Zener diodes have a breakdown voltage of below 5 volts, whilst those above that value are usually avalanche diodes. In practical voltage reference reference circuits, circuits, Zener and switching diodes are connected in series and opposite directions to balance the temperature coefficient coefficient to near-zero. Some devices labeled as high-voltage Zener diodes are actuall actuallyy avalanche avalanche diodes diodes (see above). above). Two (equivalent) Zeners in series and in reverse order, in the same package, constitute a transient absorber absorber(or (or Transorb Transorb,, a register registered ed trademark trademark). ). The Zener diode is named for Dr. Clarence Melvin Zener of Zener of Carnegie Mellon University, inventor of the device. Other uses for semiconductor diodes include sensing temperatur temperature, e, and com computin putingg analog analog logarithms (see Operational amplifier applications#Logarithmic output). output ).
N Nu umbering schemes
and
coding
The There are are a numb number er of comm common on,, stan standa dard rd and and manufacturer-driven numbering and coding schemes for diodes; the two most common being the EIA EIA//JEDEC standard and the European Pro European Pro Electron standard: Electron standard: 7.6.1 7.6.1
The JIS The JIS semiconductor designation system designation system has all semiconductor conductor diode designations designations starting with “1S”. 7.6.3 7.6.3
Zener diodes
7.6
7.6 7.6.2 JIS
EIA/JE EIA/JEDEC DEC
The standardized 1N-series numbering EIA370 system was introduced in the US by EIA/JEDEC (Joint Electron Device Engineering Engineering Council) about about 1960. Most diodes
Pro Pro Elect Electro ron n
The European European Pro Elec Electron tron coding coding system system for for acti active ve components was was introd introduc uced ed in 1966 1966 and compr comprise isess two lette letters rs followed by the part code. The first letter represents the semic semicon onduc ductor tor mater materia iall used used for the compo compone nent nt (A = gergermanium and B = silicon) and the second letter represents the general general function function of the part (for (for diodes: A = lowpower/signal, B = variable capacitance, X = multiplier, Y = rectifier and Z = voltage reference), for example: AA-series • AA-series
germanium germanium low-pow low-power/s er/signa ignall diodes diodes (e.g.: AA119)
• BA-serie BA-seriess
silicon silicon low-po low-power wer/si /signal gnal diodes diodes (e.g.: BAT18 silicon RF switching diode)
BY-series silicon silicon rectifier rectifier diodes (e.g.: • BY-series 1250V, 1A rectifier rectifier diode)
BY127
• BZ-series silicon Zener diodes (e.g.: BZY88C4V7 4.7V Zener diode)
Other common numbering / coding systems (generally manufacturer-driven) include: germanium diodes diodes (e.g.: GD9) – this is a • GD-series germanium very old coding system
OA-serie iess • OA-ser
germa germani nium um diode diodess (e.g.: (e.g.: OA47) OA47) – a coding sequence developed sequence developed by Mullard by Mullard,, a UK company
As well as these common codes, many manufacturers manufacturers or organisations have their own systems too – for example: 1901-0044 = JEDEC 1N4148 • HP diode 1901-0044 • UK military diode CV448 = Mullard type OA81 = GEC type GEC type GEX23
7.7
Rela Related ted devi device cess
• Rectifier • Transistor
74
CHAPTER CHAPTER 7. DIODE DIODE
Thyristor or silicon controlled rectifier (SCR) • Thyristor or • TRIAC • DIAC • Varistor
are usually usuallyre revers verse-bi e-biased ased(non(non-con conduc ducting) ting) under under normal normal circumstances. circumstances. When the voltage rises above the normal range, the diodes become forward-biased (conducting). For example, diodes are used in ( stepper motor and motor and H Hbridge)) motor controlle bridge controllerr and relay and relay circuits circuits to de-energize coils rapidly without the damaging voltage spikes that would otherwise occur. (Any diode used in such an apa flyback diode). diode). Many integrated Many integrated cirIn optic optics, s, an equi equiva vale lent nt devic devicee for the diode diode but with with laser laser plication is called a flyback cuits also also incorporate diodes on the connection pins to light would be the Optical the Optical isolator, isolator, also known as an Op- cuits tical Diode, that allows light to only pass in one direction. prevent external voltages from damaging their sensitive transistors.. Specialized diodes are used to protect from transistors It uses a Faraday a Faraday rotator as rotator as the main component. over-voltages at higher power (see Diode (see Diode types above). types above).
7.8 7.8.1 7.8.1
Appl Applic icat atio ions ns Radio Radio demod demodula ulatio tion n
The first use for the diode was the demodulation of amplitude modulated (AM) modulated (AM) radio radio broadcasts. broadcasts. The history of this discovery is treated in depth in the radio radio ar article. In summary, an AM signal consists of alternating positi positive ve and nega negatitive ve peaks peaks of a radio radio carri carrier er wave wave,, whose whose amplitude or amplitude or envelope envelope is is proportional to the original audio signal. The diode (originally (originally a crystal diode) rectifies the AM radio frequency signal, leaving only the positive peaks of the carrier wave. wave. The audio is then extracted extracted from the rectified carrier wave using a simple filter filter and and fed into an audio amplifier or transducer transducer,, which generates sound waves. 7.8.2
Power Power conversi conversion on
Main article: Rectifier article: Rectifier Rectifiers are con construc structed ted from from diodes, diodes, where where they they
Schematic of basic AC-to-DC AC-to-DC power supply
are used to convert alternating current (AC) electricity into direct into direct current (DC). current (DC). Automotive alternators Automotive alternators are are a common example, where the diode, which rectifies the AC into DC, provides better performance than the commutator or commutator or earlier, dynamo dynamo.. Similarl Similarly, y, diodes diodes are are also used in Cockcroft–Walton voltage multipliers to convert AC into higher DC voltages. 7.8.3 7.8.3
Over-vo Over-volta ltage ge protec protectio tion n
Diodes are frequently used to conduct damaging high voltages away away from sensitive sensitive electronic electronic devices. devices. They
7.8.4 7.8.4
Logi Logicc gate gatess
Diodes can be combined with other components to construct AND struct AND and and OR OR logic gates. gates. This is refe referred rred to as diode logic. logic.
7.8.5
Ionizing Ionizing radiation radiation detectors detectors
In addition to light, mentioned above, semiconductor diodes are sensitive to more energetic radi radiat atio ion. n. In electronics,, cosmic rays and electronics rays and other sources of ionizing radiation cause noise cause noise pulses and pulses and single and multiple bit errors. This effect effect is sometimes exploited exploited by particle by particle detectors to tectors to detect detect radiation. radiation. A single particle particle of radiaradiation, with thousands or millions of electron volts of volts of energy, generates many charge carrier pairs, as its energy is deposited in the semiconductor semiconductor material. If the depletion layer is large enough to catch the whole shower or to stop a heavy particle, a fairly accurate measurement of the particle’s energy can be made, simply by measuring the charge conducted and without the complexity of a magnetic spectrometer, spectrometer, etc. These semiconductor semiconductor radiation detectors need efficient and uniform charge collection and low low leakage current. current. They are often often cooled by liquid by liquid nitrogen. nitrogen. For longerlonger-range range (about (about a cen centime time-tre) particles, they need a very large depletion depth and large area. For short-range particles, particles, they need any contact or un-depleted semiconductor on at least one surface to be very thin. The back-bias voltages are near breakdown (around a thousand volts per centimetre). Germanium and silicon are common materials. Some of these detectors sense position as well as energy. They have a finite life, especially when detecting heavy particles, because of radiation radiation damage. Silicon and germanium germanium are quite different in their ability to convert gamma rays to rays to electron showers. Semiconductor detectors for high-energy particles are used in large numbers. Because of energy energy loss fluctuations, accurate measurement of the energy deposited is of less use.
75
7.9. ABBREVIA ABBREVIATION TIONS S
7.8.6
Temperatu Temperature re measureme measurements nts
7.8. 7.8.9 9
Clam Clampe perr
article: Clamper (electronics) A diode can be used as a temperature temperature measuring measuring device, Main article: Clamper diode clamp circui circuitt can take take a period periodic ic altern alternati ating ng curcursince the forward voltage drop across the diode depends A diode on temperature, as in a silicon a silicon bandgap temperature sensor.. From the Shockley ideal diode equation given above, sor it migh mightt appear that that the the volt voltag agee has has a positive temperature coefficient (at a constant current), but usually the variation of the reverse the reverse saturation current term is more significant than the variation in the thermal voltage term. Most diodes therefore have a negative temperature coefficient, typically −2 mV/˚C for silicon diodes. The temperature perature coe coeffici fficient ent is approxim approximate ately ly constant constant for for tempertemperatures above about 20 kelvins kelvins.. Some graph graphss are given given [32] for 1N400x series, and CY7 cryogenic temperature sensor.[33]
7.8.7 7.8.7
Current Current steerin steering g
Diodes will prevent currents in unintended directions. To supply power to an electrical circuit during a power failure, the circuit can draw current from a battery battery.. An uninterruptible power supply may supply may use diodes in this way to ensu ensure re that that curre current nt is only only drawn drawn from the batter batteryy when when necessary. Likewise, Likewise, small boats typically have two circuits each with their own battery/batteries: one used for engine starting; one used for domestics. Normally, both are charged from a single alternator, and a heavy-duty split-charge diode is used to prevent the higher-charge battery (typically the engine battery) from discharging through the lower-charge battery when the alternator is not running. Diodes are also used in electronic in electronic musical keyboards. keyboards . To reduce the amount of wiring needed in electronic musical keyboards, these instruments often use keyboard use keyboard matrix circuits. trix circuits. The keyboard controller scans the rows and columns to determine which note the player has pressed. The problem with matrix circuits is that, when several notes are pressed at once, the current can flow backwards through the circuit and trigger "phantom " phantom keys" keys" that cause “ghost” “ghost” notesto notes to play. play. To avoid avoid triggerin triggeringg unwanted unwantednote notes, s, most keyboard matrix circuits have diodes soldered with the switch under each key of the musical the musical keyboard. keyboard. The same principle is also used for the switch matrix in solidstate pinball state pinball machines. machines .
This simple diode clamp will clamp the negative peaks of the incoming waveform waveform to the common rail voltage
rent signal that oscillates between positive and negative values, and vertically displace it such that either the positive, or the negative peaks occur at a prescribed level. The clamper does not restrict the peak-to-peak excursion of the signal, it moves the whole signal up or down so as to place the peaks at the reference level.
7.9
Diodes are usually referred to as D for diode on PCBs on PCBs.. Sometimes the abbreviation CR for crystal rectifier is used.[34]
7.10 7.10
Wavef aveform orm Clipper
See See also also
• Active rectification • Diode modelling • Junction diode • Lambda diode • p–n junction • Small-signal model 7.11 7.11
7.8.8
Abbre Abbrevi viati ations ons
Ref Referen erence cess
Main article: Clipper article: Clipper (electronics)
[1] Tooley, Mike (2012). Electronic Circuits: Fundamentals and Applications, 3rd Ed. Routlege. p. 81. ISBN 81. ISBN 1-13640731-6.. 40731-6
Diodes can be used to limit the positive or negative excursion cursion of a signal to a prescribed voltage.
[2] Lowe, Doug (2013). “Electronics (2013). “Electronics Components: Diodes”. Diodes”. Electroni Electronics cs All-In-O All-In-One ne Desk Ref Reference erence For Dummies Dummies. John Wiley & Sons. Retrieved January 4, 2013.
76
CHAPTER CHAPTER 7. DIODE DIODE
[3] Crecraf Crecraft,t, David David;; Steph Stephen en Gerge Gergely ly (2002) (2002).. Analog [22] Classification of components. components. Digike Digikey.com y.com (2009-05(2009-05Electroni Elect ronics: cs: Circ Circuits, uits, Sys Systems tems and Signal Processin Processingg . 27). Retrieved 2013-12-19. Butterworth-Heinemann. p. 110. ISBN 110. ISBN 0-7506-5095-8. 0-7506-5095-8. [23] “Component Construction”. Construction”. 2010 2010-0 -055-25 25.. Retri Retriev eved ed 2010-08-06. [4] Horowitz, Horowitz, Paul; Paul; Winfield Winfield Hill(1989 Hill (1989). ). The Art of Ele Electr ctrononics, 2nd Ed. London: Cambridge University Press. p. 44. [24] Component Construction. Construction. Digike Digikey.com y.com (2009-05-2 (2009-05-27). 7). ISBN 0-521-37095-7. 0-521-37095-7. Retrieved 2013-12-19. [5] “Physica “Physicall Explanation – General Semiconductors”. Semiconductors”. 2010- [25] “Physics and Technology”. Technology”. 2010-05-25. Retrieved 201005-25. Retrieved 2010-08-06. 08-06. [6] “The “The Con Consti stitue tuents nts of Sem Semic icond onduct uctor or Com Compon ponent ents” s”.. [26] Fast Recovery Epitaxial Epitaxial Diodes (FRED) Characteristics Characteristics – 2010-05-25. Retrieved 2010-08-06. Applications – Examples. Examples. (PDF). Retrieved 2013-12-19. [7] Guthrie, Guthrie, Frederick(October Frederick(October 1873)“Onarelationbetween 1873) “Onarelationbetween [27] Sze, S. M. (1998) (1998) Modern Semiconductor Device Physics, heat and static electricity,” The London, Edinburgh and Wiley Interscience, ISBN Interscience, ISBN 0-471-15237-4 Dublin Dublin Philosophi Philosophical cal Mag Magazi azine ne and Journal Journal ofScien of Science ce,4th [28] Protecting Low Current Loads in Harsh Electrical Enviseries, 46 : 257–266. ronments.. Digikey.com ronments Digikey.com (2009-05-27). Retrieved 2013[8] 1928 Nobel Lecture: Owen Lecture: Owen W. Richardson, “Thermionic “Thermionic 12-19. phenomena and the laws which govern them”, December [29] “About JEDEC”. JEDEC”. Jedec.org. Retrieved 2008-09-22. 12, 1929 diodes?". [9] Edison, Edison, Thomas Thomas A. “Elect “Electric rical al Meter” Meter” U.S. Pate Patent nt [30] “Introduction dates of common transistors and diodes?". EDAboard.com. 2010-06-10. Retrieved 2010-08-06. 307,030 Issue 307,030 Issue date: Oct 21, 1884 [31] I.D.E.A. “Tran “Transis sistor tor Museu Museum m Cons Constructi truction on Pro Projec jects ts Poi Point nt [10] “Road to the Transistor”. Transistor”. Jmarg Jmargoli olin.c n.com. om. Retrie Retrieved ved Contact Germanium Western Electric Vintage Historic 2008-09-22. Semiconductors Photos Alloy Junction Oral History”. History”. Semiconductormuseum.com. Semiconductormuse um.com. Retrieved 2008-09-22. [11] Braun, Ferdinand (1874) “Ueber (1874) “Ueber die Stromleitung durch Schwefelmetalle” (On Schwefelmetalle” (On current conduction in metal sul- [32] 1N400x Diode Family Forward Voltage Voltage.. CliftonlaboratoCliftonlaboratophides), Annalen der Physik Physik und Chemie, 153 : 556–563. ries.com. Retrieved 2013-12-19. [12] Karl Ferdinand Braun. Braun. chem.ch.huji.ac.il chem.ch.huji.ac.il [33] Cryogenic Temperature Temperature Sensors. Sensors. omega.com [13] “Diode”. “Diode”. Encyclobeamia.solarboti Encyclobeamia.solarbotics.net. cs.net.
[34] John Ambrose Ambrose Fleming (1919). (1919). The Principles of Electric Wave Te Telegr legraph aphyy and Tel Telephon ephonyy. London: Longmans, [14] Sarkar, Tapan K. (2006). History of wireless. USA: John Green. p. 550. Wiley and Sons. pp. 94, 291–308. ISBN 291–308. ISBN 0-471-71814-9. 0-471-71814-9. [15] Pickard, Greenleaf Whittier “Means for receiving intelligence communicated by electric waves” U.S. Patent 836,531 Issued: 836,531 Issued: August 30, 1906 [16] Diode reverse recovery recovery in a boost converter. converter. ECEN5817. ecee.colorado.edu
7.12 7.12
Exte Extern rnal al link linkss
Rectifiers – Chapter on All About Cir• Diodes and Rectifiers – cuits
Diodes – • Structure and Functional Behavior of PIN Diodes – [17] [17] Elhami Elhami Khorasa Khorasani, ni, A.; Grisw Griswol old, d, M.; Alford Alford,, T. L. PowerGuru (2014). “Gate-Controlled Reverse Recovery for Characterization of of LDMOS Body Diode”. IEEE IEEE Electron Electron Device Device Letters 35 (11): 1079. doi 1079. doi::10.1109/LED.2014.2353301 10.1109/LED.2014.2353301.. Interactive and animations [18] Inclusion of Switching Loss in the Averaged Equivalent Circuit Model. Model. ECEN5797. ecee.colorado.edu
• Interactive Explanation of Semiconductor Diode, Diode ,
[19] Current regulator diodes. diodes. Digike Digikey.com y.com (2009-05-2 (2009-05-27). 7). Retrieved 2013-12-19.
Tutorial Animation • Schottky Diode Flash Tutorial
University of Cambridge
[20] [20] Jonsch Jonscher, er, A. K. (1961). (1961). “The “The physic physicss of the tunnel tunnel Datasheets diode”. British British Journal Journal of Applied Applied Physic Physicss 12 (12): 654. Bibcode: Bibcode:1961BJAP...12..654J 1961BJAP...12..654J.. doi doi::10.1088/0508• Disc Discrete rete Datab Databook ook (Hist (Historic orical al 1978) 1978),, Natio National nal 3443/12/12/304.. 3443/12/12/304 Semiconductor (now Texas Instruments) [21] [21] Do Dowde wdey, J. E., and and Tra Travis, vis, C. M. (1964 (1964). ). “An “An Analysi Analysiss of SteadySteady-Stat Statee Nuclear Nuclear Radiati Radiation on Damage Damage of Tunnel Tunnel Diodes”. Diodes”. IEEE IEEE Transaction Transactionss on Nuclear Nuclear Science 11 (5): (5): 55. 55. Bibcode: Bibcode:1964ITNS...11...55D 1964ITNS...11...55D.. doi::10.1109/TNS2.1964.4315475 doi 10.1109/TNS2.1964.4315475..
1982) , SGS (now • Discrete Databook (Historical 1982), STMicroelectronics)
• Discrete Databook (Historical (Historical 1985), 1985), Fairchild
Chapter 8
Wire For other uses, see Wire see Wire (disambiguation). (disambiguation) . A wire is a single, usually usually cylindrical cylindrical,, flexible strand or
Wires overhead
rod of metal. Wires are used to bear mechanical loads mechanical loads or or electricity and electricity and telecommunications telecommunications signals. signals . Wire is commonly formed by drawing by drawing the the metal through a hole in a die or die or draw draw plate. plate . Wire gauges come gauges come in various standard various standard sizes, as expressed in terms of a gauge number. number. The term wire is also used more loosely to refer to a bundle of such strands, as in 'multistranded wire', which is more correctly correctly termed a wire rope in rope in mechanics, or a cable a cable in in electricity. Wire comes in solid core, stranded, or braided forms. Although usually circular in cross-section, wire can be made in square, square, hexagon hexagonal, al, flattened flattened rectangu rectangular, lar, or other other cross-sec cross-section tions, s, either either for decorati decorative ve purposes, purposes, or for for technical technical purposes such as high-efficien high-efficiency cy voice voice coils in coils in loudspeakers.. Edge-w loudspeakers Edge-woun oundd[1] coil springs, springs, such as the Slinky toy, Slinky toy, are made of special flattened wire.
8.1 8.1
Histo istory ry
In antiquity In antiquity,, jewelry jewelry often often contains, in the form of chains and applied decoration, decoration, large amounts of wire that is accurately made and which must have been produced by some efficien efficient,t, if not technica technically lly advance advanced, d, means. means. In some cases, strips cut from metal sheet were made into wire by pulling them through perforations in stone beads.
Wire wrapped jewelry
This causes the strips to fold round on themselves to form thin tubes. tubes. This strip strip drawing techniq technique ue was in use in Egypt by Egypt by the 2nd the 2nd Dynasty. Dynasty. From the middle of the 2nd millennium BC most BC most of the gold the gold wires wires in jewellery are characterised by seam lines that follow a spiral path along the wire. Such twisted strips can be converted into solid round wires by rolling them between flat surfaces or the strip strip wire wire drawin drawingg metho method. d. The strip strip twist twist wire wire manuf manufacacturing method was superseded superseded by drawing by drawing in in the ancient Old World someti sometime me betwe between en about about the8th the 8th and10t and 10thh cencenturies AD.[2] There is some evidence for the use of drawing further East prior to this period.[3] Square and hexagonal wires were possibly made using a swaging technique. swaging technique. In this method a metal rod was struck between grooved metal blocks, or between a grooved punch and a grooved metal anvil metal anvil.. Swaging is of great antiquity, possibly dating to the beginning of the 2nd mil-
77
78
CHAPTER CHAPTER 8. WIRE WIRE
lennium BC in Egypt and in the Bronze the Bronze and and Iron Iron Ages in Ages in Europe for torcs for torcs and and fibulae fibulae.. Twisted square section wires are a very common filigree common filigree decoration in early Etruscan early Etruscan jewellery. jewellery. In about the middle of the 2nd millennium BC a new category of decorative tube was introduced which imitated a line of granules granules.. True True beaded beaded wire, wire, produced produced by mec mechanhanically distorting a round-section wire, appeared in the Eastern Mediterranean and Mediterranean and Italy in the seventh century BC, perhaps disseminated by the Phoenicians Phoenicians.. Beade Beadedd wire wire con contin tinued ued to be used used in jewe jewellllery ery into into modern modern times times,, altho althoug ughh it large largely ly fell ell out of favour avour in about about theten the tenth th cencentury AD when two drawn round wires, twisted together to form what are termed 'ropes’, provided a simpler-tomake alternative. alternative. A forerunner forerunner to beaded wire may be thenot the notch ched ed stripsand stripsand wires wires which which first first occ occur ur from romaro around und 2000 BC in Anatolia in Anatolia.. Wire was drawn was drawn in in England from the medieval period. The wire was used to make wool make wool cards and cards and pins, manufactured factured goods whose import was prohibited prohibited by Edward [4] IV in IV in 1463. The first wire mill in Great Britain was established at Tintern at Tintern in in about 1568 by the founders of the Company the Company of Mineral and Battery Works, Works , who had a [5] monopoly on monopoly on this. Apart from their second wire mill at nearby nearby Whitebrook, Whitebrook,[6] there were no other wire mills before the second half of the 17th century. Despite the existence of mills, the drawing of wire down to fine sizes continued to be done manually. Wire is usually drawn of cylindrical form; but it may be made of any desired section by varying the outline of the holes in the draw-plate through which it is passed in the process of manufacture. manufacture. The draw-plate The draw-plate or or die die is is a piece of hard cast-iron or hard steel, or for fine work it may be a diamond a diamond or or a ruby a ruby.. The object object of utilising precious stones is to enable the dies to be used for a considerable period without losing their size, and so producing wire of incorrect diameter. Diamond dies must be rebored when they have lost their original diameter of hole, but metal dies are brought down to size again by hammering up the hole and then drifting it out to correct diameter with a punch.
8.2
fencing, and much is consumed in the construction of suspension bridges, bridges, and cages, etc. In the manufactu manufacture re of stringed stringed musical musical instrume instruments nts and scienti scientific fic instrume instruments nts wire is again largely largely used. Carbon and stainless stainless spring steel wire have significant applications for engineered springs for critical automotive or industrial manufactured parts/components. Among its other sources of consumption it is sufficient to mention pin and hairpin and hairpin making, making, the needle and fish-hook and fish-hook industries, industries, nail, peg and rivet making, and carding machinery; machinery; indeed there are few industries into which it does not enter. Not all metals and metallic alloys alloys possess possess the physical properti properties es necessary necessary to make make useful useful wire. The metals metals must in the first place be ductile be ductile and and strong in tension, the quality on which the utility of wire principally depends. Theme The metal talss suit suitabl ablee for wire, wire, posses possessi sing ng almost almosteq equal ualduc duc-tility, are platinum are platinum,, silver silver,, iron iron,, copper copper,, aluminium and gold;; and it is only from these and certain of their alloys gold with other metals, principally brass principally brass and and bronze bronze,, that wire wire , see is prepared ( For a detailed discussion on copper wire , main article: Copper Copper wire and cable. cable .). By careful treatment extremely thin wire can be produced. Special purpose wire is however made from other metals (e.g. tungsten (e.g. tungsten wire wire for light for light bulb and bulb and vacuum vacuum tube filaments, filaments, because because of its high high melting melting temperatu temperature). re). CopCopper wires are also plated with other metals, such as tin, nickel, and silver to handle different temperatures, provide lubrication, lubrication, provide provide easier stripping of rubber from copper.
8.3
Prod Produc ucti tion on
Uses
Wire has many uses. It forms the raw material of many important manufacturers important manufacturers,, such as the wire the wire netting indusnetting industry, engineered engineered springs, wire-cloth springs, wire-cloth making making and wire and wire rope spinning, in which it occupies a place analogous to a textile fiber. fiber. Wire-cl Wire-cloth oth of all degrees degrees of strength and fineness of mesh is used for sifting and screening machinery, for draining paper pulp, for window screens, and for many other purposes. Vast quantities of aluminium aluminium,, copper,, nickel copper nickel and and steel steel wire wire are employed for telephone and and data cables, cables , and as conductors in electric power transmission,, and heating transmission and heating.. It is in no less less demand demand for for
Wire mill (1913)
Main article: Wire article: Wire drawing Wire is often reduced to the desired diameter and properties by repeated drawing repeated drawing through through progressively smaller dies, or traditionally holes in draw plates. plates . After a number of passes the wire may be annealed be annealed to to facilitate more
8.5. FORMS FORMS OF OF WIRE WIRE
79
drawing or, if it is a finished product, product, to maximise ductil- which rotates on rollers below. The various strands comity and conductivity. ing from the spools at various parts of the circumference of the cage all lead to a disk at the end of the hollow shaft. This disk has perforations through which each of 8.4 Finis Finishin hing, g, jac jacke keting ting,, and and ins insuu- the strands pass, thence being immediately wrapped on the cable, which slides through a bearing at this point. lating Toothed gears having certain definite ratios are used to cause the winding drum for the cable and the cage for the Electrical wires are usually covered with insulating ma- spools to rotate at suitable relative speeds which do not terials,, such as plastic, rubber-like polymers, or varnish. vary. The cages are multiplied for terials for stranding with a large Insulating and jacketing of wires and cables is nowadays number of tapes or strands, so that a machine may have done done by passing passing them through through an extrude extruder. r. Formerl Formerly, y, six bobbins on one cage and twelve on the other. materials used for insulation included treated cloth or paper and various oil-based products. Since the mid-1960s, plastic and polymers and polymers exhibiting exhibiting properties similar to rub- 8.5 8.5 Forms orms of wire wire ber have predominated. Two or more wires may be wrapped concentrically, sepa- Further information: information: Copper wire and cable#Types of rated by insula insulation tion,, to form coaxi coaxial al cab cable le.. The wire wire or caca- copper wire and cable ble ble may may be furth further er protec protected ted with with subst substanc ances es like like paraffin paraffin,, some kind of preservative compound, bitumen, lead bitumen, lead,, aluminum sheathing, or steel taping. Stranding or covering machines machines wind material onto wire which passes through 8.5.1 8.5.1 So Soli lid d wire wire quickly. Some of the smallest machines for cotton covering have a large drum, which grips the wire and moves it Solid wire, also called solid-core or single-strand wire, through toothed gears; the wire passes through the centre consists of one piece of metal wire. Solid wire is useful of disks mounted above a long bed, and the disks carry for wiring breadboards. Solid wire is cheaper to manueach a number of bobbins of bobbins varying varying from six to twelve or facture than stranded wire and is used where there is little more in different different machines. machines. A supply of covering covering ma- need for flexibility in the wire. Solid wire also provides terial is wound on each bobbin, and the end is led on to mechanical ruggedness; and, because it has relatively less the wire, which occupies a central position relatively to surf surface aceare areaa whic whichh is expos exposed ed to attac attackk bycor by corros rosiv ives es,, proprothe bobbins; the latter being revolved revolved at a suitable speed tection against the environment. bodily with their disks, the cotton is consequently served on to the wire, winding in spiral fashion fashion so as to overlap. overlap. 8.5.2 Stran Strande ded d wire wire If a large number of strands are required the disks are du- 8.5.2 plicated, so that as many as sixty spools may be carried, the second set of strands being laid over the first.
Coaxial cable , one example of a jacketed and insulated wire.
For heavier cables that are used for electric light and power as well as submarine cables, the machines are copper wire wire somewhat somewhat different different in construction. The wire is still car- Stranded copper ried through a hollow shaft, but the bobbins or spools of covering material are set with their spindles at right an- Stranded wire is composed of a number of small gauge gles to the axis of the wire, and they lie in a circular cage wire bundled or wrapped together to form a larger con-
80
CHAPTER CHAPTER 8. WIRE WIRE
ductor. Stranded wire is more flexible flexible than solid wire of the same total cross-sectional area. Stranded wire tends to be a better conductor conductor than solid wire because the individual wires collectively comprise a greater surface area. Stranded wire is used when higher resistance to metal fatigue is fatigue is required. Such situations include include connections connections between circuit between circuit boards in multi-printed-circuit-board multi-printed-circuit-board devices, where the rigidity of solid wire would produce too much stress as a result of movement during assembly or servicing; A.C. servicing; A.C. line line cords for appliances; musical instrument cables; computer mouse cables; welding welding electrode cables; cables; control cables connecting moving machine parts; mining machine cables; trailing machine cables; and numerous others. At high frequencies, current travels near the surface of the wire because of the skin effect , resulting in increased increased power loss in the wire. Stranded wire might seem to reduce this effect, since the total surface area of the strands is greater than the surface area of the equivalent solid wire, but ordinary stranded wire does not reduce the skin effect because all the strands are short-circuited together and behave as a single conductor. A stranded wire will have have high higher er resi resista stanc ncee than than a solid solid wire wire of the same same diamdiameter because the cross-section cross-section of the stranded wire is not allco all copp pper; er; there there areuna are unavo void idab able le gaps gaps betwe between en thestr the strand andss (this is the circle the circle packing problem packing problem for circles for circles within a circle).). A stranded wire with the same cross-section cle cross-section of conductor as a solid wire is said to have the same equivalent same equivalent gauge and gauge and is always a larger diameter. Howe Howeve ver, r, for many many highhigh-ffreque requenc ncyy appli applica catio tions, ns, proximity eff effect ect is more severe than skin effect, and in some limited cases, simple stranded wire can reduce proximit proximityy effect. effect. For better better performan performance ce at high high frefrequencies, litz wire, wire, which which has the individual individual strands strands insulated and twisted in special patterns, may be used. 8.5.3 8.5.3
Braid Braided ed wire wire
A braided wire is composed of a number of small strands of wire braide braidedd together. together. Similar Similar to stranded stranded wires, wires, braided wires are better conductors than solid wires. Braided wires do not break easily when flexed. Braided wires are often suitable as an electromagnetic shield in noise-reduction cables. 8.5.4 8.5.4
Number Number of strand strandss
The more individual wire strands in a wire bundle, the mor moree flexible flexible,, kink-res kink-resistan istant,t, break-re break-resis sistant, tant, and stronger the wire is. But more strands increase cost. The lowest number of strands usually seen is 7: one in the middle, 6 surrounding it. The next level up is 19, which is another layer layer of 12 strands on top of the 7. After that the number varies, varies, but 37 and 49 are common, then in the 70 to 100 range (the number is no longer exact). exact). Even larger
numbers than that are typically found only in very large cables. For application where the wire moves, 19 is the lowest that should be used (7 should only be used in applications where the wire is placed and then does not move), and 49 is much better. For applications applications with constant constant repeated moveme movement, nt, such such as assembl assemblyy robots robots and headphone wires, 70 to 100 is mandatory. For applications that need even more flexibility (welding is the usual example, but also any need to move wire in tight areas), even more more strands are used. One example is a 2/0 wire made from 5,292 strands of #36 gauge wire. The strands are organized by first creating a bundle of 7 strands. Then 7 of these bundles are put together into super bundles. Finally 108 super bundles bundles are used to make the final cable. cable. Each group group of wires is wound wound in a helix so that when the wire is flexed, the part of a bundle that is stretched moves around the helix to a part that is compressed to allow the wire to have less stress.
8.6
Variet arietie iess
Germanium diode bound diode bound with gold wire.
• Hook-up wire is small-to-medium gauge, solid or stranded, insulated wire, used for making internal connections inside electrical or electronic devices. It is often tin-plated to facilitate soldering.
applicatio ationn of microsc microscopi opicc bonding bondi ng is the applic wires wires for for making making electri electrical cal conn connecti ections ons inside inside semiconductor components semiconductor components and integrated circuits.
• Wire Wire
usually copper,, which, to • Magnet wire is solid wire, usually copper
allow closer winding when making electromagnetic electromagnetic coils, is insulated only with varnish, rather than the thick thicker er plasti plasticc or other other insula insulatition on commo commonl nlyy used used on electrical wire. It is used for the winding of motors motors,, transformers,, inductors, transformers inductors, generators, generators, speaker speaker coils, coils, etc. (For further information about copper about copper magnet wire , wire , see: Copper see: Copper wire and cable#Magnet wire (Winding wire). wire).).
• Coaxial cable is a cable consisting of an inner conductor, surrounded by a tubular insulating layer typ-
81
8.8. NOTES NOTES
ically ically made from a flexible material with a high di• Wire rope electric constant, all of which is then surrounded • Wire wrapped jewelry by another conductive layer (typically of fine woven wire for flexibility, or of a thin metallic foil), • Wollaston wire and then finally covered again with a thin insulating layer layer on the outside. outside. The term coaxial coaxial comes from from the inner conductor and the outer shield shield shar8.8 Note otes ing the same geometric axis. Coaxial cables cables are of- 8.8 ten used as a transmission line for radio frequency Coils”. Swiger Swiger Co Coilil signal signals. s. In a hypothe hypothetica ticall ideal coaxial coaxial cable the [1] Swiger Coil Systems. “Edgewound Coils”. Systems, A Wabtec Company. Retrieved 1 January 2011. electromagnetic electromagnetic field field carrying the signal exists only in the spac spacee betwe between en the inner inner and outer outer condu conduct ctors ors.. [2] Jack Ogden, Ogden, ‘Classical ‘Classical Gold wire: Some Aspects Aspects of its Practical cables achieve this objective to a high deManufactur Manufacturee and Use’, Jewellery Jewellery Studies, 5, 1991, pp. 95– 105. gree. A Coaxial Coaxial Cable Cable provide providess protecti protection on of signal signalss from external electromagnetic interference, and efOgden, ‘Conne ‘Connectio ctions ns between between Islam, Islam, Europe, Europe, and the fectively guides signals with low emission along the [3] Jack Ogden, FarEast in the Medie Medieva vall Perio Period: d: The The Evide Evidence nceof of theJewlength of the cable. elry Technol Technology’. ogy’. Eds P. Jett, J Douglas, Douglas, B. McCarthy, McCarthy, J Winter. Scientific Research in the Field of Asian Art. • Speaker wire is used to make the electrical conFiftieth-Annive Fiftieth-Anniversary rsary Symposium Proceedings. Archetype nection between loudspeakers and audio amplifiers. Publica Publication tions, s, London London in associati association on with the FreerGall Freer Gallery ery Modern speaker wire consists of electrical electrical conducof Art, Smithsonian Institution, 2003. tors individually insulated by plastic.
• Resistance wire is wire with higher than normal re-
sistivity, often used for heating for heating elements or elements or for making wire-wou wire-wound nd resistors resistors.. Nichrome wireisthemost common type.
8.7 8.7
See also also
[4] H. R. Schubert, Schubert, 'The wiredrawers wiredrawers of Bristol' Journal Journal Iron & Steel Institute 159 (1948), 16-22. [5] M. B. Donald, Donald, Elizabethan Monopolies: Company of of Mineral and Battery Works Works (Olver & Boyd, Edinburgh 1961), 95-141. [6] D. G. Tucker, 'The seventeenth century wireworks at Whitebrook, Monmouthshire' Bull. Bull. Hist. Metall. Gp 7(1) (1973), 28-35.
• For transmission see: Power see: Power cable, cable, High-voltage cable and ble and HVDC HVDC
• Barbed wire • Cable • Chicken wire • Electrical connector • Electrical wiring • Litz wire • Piano wire • Razor wire • THHN • Tinsel wire • Wire (album) • Wire (band) • Wire bonding • Wire gauge • Wire netting
8.9
Ref Referen erence cess
• This This artic article le inco incorpo rporat rates es text text from a publ public icati ation on now now
in the public the public domain: domain: Chisholm, Hugh, ed. (1911). "Wire Wire". ". Encyclopædia Britannica 28 (11th ed.). Cambridge Cambridge University University Press. p. 738.
8.10 8.10
Exte Extern rnal al link linkss
• Wire Gauge to Diameter—D Diameter—Diameter iameter to Wire Gauge Converter - Online calculator converts gauge to diConverter ameter or diameter to gauge for any wire size.
Chapter 9
Printed circuit board Not to be confused with printed with printed electronics. electronics . “Printed circuit” circuit” redirects redirects here. For the defunct defunct company, see Printed see Printed Circui Circuitt Corporation. Corporation . A printed circuit board (PCB) mechanically supports
circuit board assembly or PCB assembly assembly (PCBA). The IPC The IPC circuit card card assemassempref preferre erredd term for for assemble assembledd boards boards is circuit [1] bly (CCA), and for for assembl assembled ed backplanes itis backplane informally lly both for for assemblies. The term PCB is used informa
bare and assembled boards. The world market for bare PCBs reached nearly $60 billion in 2012. [2]
9.1 9.1
Des Design
Part of a 1983 Sinclair 1983 Sinclair ZX Spectrum computer Spectrum computer board; a populated PCB, showing the conductive traces, vias traces, vias (the (the through-hole paths to the other surface), surface), and some mounted electronic electronic components
and electrically connects electronic components using conductive tracks, conductive tracks, pads and other features etched features etched from from copper sheets laminated sheets laminated onto onto a non-conductive substrate non-conductive substrate.. PCBs can be single sided (one (one copper layer), double sided (two (two coppe copperr laye layers) rs) or multi-layer (outer (outer and inner inner layers). layers). Multi-layer PCBs allow for much higher component density. Conductors on different different layers layers are connected with plated-through holes called vias vias.. Advanc Advanced ed PCBs may may contain components - capacitors, resistors or active devices - embedded in the substrate. Printe Printedd circ circui uitt board boardss are used used in allbut all but thesi the simpl mples estt elecelectronic products. Alternatives Alternatives to PCBs include include wire wrap and point-to-point and point-to-point constructi construction on.. PCBs require the additional design effort to lay out the circuit, but manufacturing and assembly assembly can be automated. Manufacturing Manufacturing circuits with PCBs is cheaper and faster than with other wiring methods as components are mounted and wired with one single part. Furthermore, operator operator wiring errors are eliminated. When the board has only copper connections and no embedded components, components, it is more correctly called a printed Although wiring board ( PWB PWB) or etched wiring board . Although more accurate, the term printed wiring board has fallen into disuse. disuse. A PCB populated populated with electro electronic nic compocomponents is called a printed circuit assembly ( PCA), printed
A board designed in 1967; the sweeping curves in the traces are evidence of freehand design using self-adhesive tape.
Initially PCBs were designed manually by creating a photomask on photomask on a clear mylar clear mylar sheet, sheet, usually at two or four times the true size. Starting from the schematic schematic diagram the component pin pads were laid out on the mylar and then traces were routed to connect the pads. Rub-on dry Rub-on dry transfers of transfers of common component footprints increased efficiency. Traces were made with self-adhesive tape. Preprinted non-reproducing grids on the mylar assisted in layout. To fabrica fabricate te the board, the finished photomask photomask was photolithographically was photolithographically reproduced reproduced onto a photoresist a photoresist coated on the blank copper-clad boards. Nowadays PCBs are designed with dedicated layout software, generally in the following steps: [3]
82
1. Schematic capture through capture through an electronic an electronic design automation ( (EDA) tool. tomation
83
9.2. MANUFACTU MANUFACTURING RING
2. Card dimensions dimensions and template are decided decided based on 9.2.2 9.2.2 Pane Paneliza lizatio tion n required circuitry and case of the PCB. Panelization is a procedure whereby a number of PCBs 3. The positions of the components and heat and heat sinks are sinks are are grouped for manufacturing onto a larger board - the determined. panel. panel. Usually Usually a panel consis consists ts of a single design design but sometimes multiple designs are mixed on a single panel. 4. Layer stack stack of the PCB is decided, with with one to tens sometimes of layers depending on complexity. Ground and There are two types of panels: assembly panels - often power planes planes are are decided. decided. A power power plane is the the called arrays - and bare board manufacturing panels. The counterpart to a ground plane and behaves as an AC an AC assembler often mount components on[10]panels rather than signal ground while providing DC power to the cir- single PCBs because this is efficient. The bare board cuits mounted on the PCB. Signal interconnections interconnections manufactures always uses panels, not only for efficiency, becausee of the requi require reme ments nts the plati plating ng proce process. ss. Thus Thus are traced on signal planes. Signal planes can be on but becaus the outer as well as inner layers. For optimal EMI a manufacturing panel can consist of a grouping of inor of arrays, depending on what must be performance high frequency signals are routed in in- dividual PCBs [5] [4] delivered. ternal layers between power or ground planes. 5. Line impedance impedance is is determined using dielectric layer The panel is eventually broken apart into individual thicknes thickness, s, routing routing copper copper thickne thickness ss and trace-wid trace-width. th. PCBs; this is called depaneling. Separating the individTrace separation is also taken into account in case ual PCBs is frequently aided by drilling or routing perforations along the boundaries of the individual individual circuits, of differential signals. Microstrip, Microstrip, stripline stripline or or dual forations much like a sheet a sheet of postage stamps. stamps . Another Another method, method, stripline can be used to route signals. which takes less space, is to cut V-shaped grooves across 6. Componen Components ts are placed. Thermal Thermal conside considerati rations ons the full dimension of the panel. The individual PCBs can and geome geometry try are taken taken into into acco account unt.. Vias and lands lands then be broken apart along this line of weakness. [11] Today day depan depanel eling ing is often often done done by lasers laserswh whic ichh cut cut theboa the board rd are marked. with no contact. Laser panelization panelization reduces stress on the 7. Signal traces are traces are routed. Electronic Electronic design automa- fragile circuits. tion tools usually create clearances and connections in power and ground planes automatically. 8. Gerber files ar are [5][6][7][8] manufacturing.
9.2
generated
9.2.3 Copper Copper patter patternin ning g for 9.2.3
Manuf Manufact acturi uring ng
PCB manufacturing consists of many steps. 9.2. 9.2.1 1
PCB PCB CAM CAM
Manufacturing starts from the the PCB PCB fabrication data gendata gen[5] erated erated by CAD. CAD. The The Gerbe Gerberr or Exce Excellllon on files files in the fabrication data are never used directly on the manufacturing equipment but always read into the CAM (Computer Aided Manufacturing) software. CAM performs the following functions:[9] 1. Input of the Gerber data [5][8] 2. Verificatio Verificationn of the data; optionally optionally DFM 3. Compensation for deviations in the manufacturing processes processes (e.g. scaling to compensate for distortions distortions during lamination) 4. Panelization 5. Output Output of the digital tools tools (copper ( copper patterns, patterns, solder resist image, image, legend image, image, drill files, files , automated optical inspection data, data , electrical test files,...) files ,...)[5]
The first step is to replicate the pattern in the fabricator’s CAM syste system m on a prote protect ctiv ivee mask mask on theco the coppe pperr foil oil PCB layers. layers. Subsequent Subsequent etching removes the unwanted unwanted copper. (Alternatively, a conductive ink can be ink-jetted on a blank (non-conductive) (non-conductive) board. This technique technique is also used in the manufacture manufacture of hybrid of hybrid circuits.) circuits.) 1. Silk screen printing uses etch-resistant inks to create the protective mask. 2. Photoengraving uses uses a photo photomas maskk andde and deve velo lope perr to selectively remove a UV-sensitive photoresist coating and thus create create a photo photore resi sist st mask. mask. Direc Directt imaging techniques are sometimes used for highresolution resolution requirements. requirements. Experiments were made made [12] with thermal resist. 3. PCB milling uses a two or three-axis mechanical milling system to mill away the copper foil from the substrate. A PCB milling machine (refe (referred rred to as a 'PCB Prototyper') operates in a similar way to a plotter,, receiving commands from the host software plotter that control the position of the milling head in the x, y, and (if relevant) relevant) z axis. 4. Laser resist ablation Spray black paint onto copper clad laminate, place into CNC CNC laser laser plotter. plotter. The laser raster-scans the PCB and ablates (vaporizes) the paint paint where where no resist resist is wanted. wanted. (Note: (Note: laser laser
84
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
copper ablation is rarely used and is considered considered experimental.) The method chosen depends on the number of boards to be produced and the required resolution. Large volume
•
Silk screen printing– used for PCBs with bigger feafeatures
• Photoengraving–used when finer features are required.
Small volume
• Print onto transparent film and use as photo mask
along along with with photo photo-se -sens nsiti itized zed boards. boards. (i.e., (i.e., prepresensitized sensitized boards), then etch. (Alternatively, (Alternatively, use a film photoplotter)
• Laser resist ablation. • PCB milling. Hobbyist
• Laser-printed resist: Laser-print onto transparency
The two proce processi ssing ng method methodss used used to produ produce ce a double double-si -sided ded PWB with plated through holes.
film, heat-transfer heat-transfer with an iron or modified laminator onto bare laminate, touch up with a marker, then etch. mask, this mask exposes those parts of the substrate that will eventually become the traces.) Additional Additional copper is • Vinyl film and resist, non-washable marker, some theneventually plated onto the board in the unmasked areas; copper other methods. methods. Labor-intensive, Labor-intensive, only suitable suitable for for may be plated to any desired desired weight. weight. Tin-lead or other single boards. surface surface platings are then applied. applied. The mask is stripped away and a brief etching step removes the now-exposed 9.2. 9.2.4 4 Subt Subtra ract ctiive, addi additi tiv ve and and semi semi-- bare original copper laminate from the board, isolating the individual individual traces. Some single-sided single-sided boards which which additive processes have plated-through holes are made in this way. General Electric made made consumer radio sets in the late 1960s using Subtractive methods remove copper from an entirely Electric additive boards. copper-c copper-coated oated board to leave leave only only the desired desired copper copper pattern: In additive methods methods the pattern is electroplated is electroplated onto onto The (semi-)additive process is commonly used for multia bare substrate using a complex complex process. The advantage layer boards as it facilitates the plating plating-through -through of the of the additive method is that less material is needed and holes to produce conductive vias conductive vias in in the circuit circuit board. less waste is produced. produced. In the full additive additive process process the bare laminate is covered with a photosensitive film which 9.2.5 Chemic Chemical al etchin etching g is imaged (exposed to light through a mask and then de- 9.2.5 veloped veloped which which removes removes the unexposed unexposed film). The exposed posed areas areas are sens sensiti itized zed in a chemi chemical calbat bath, h, usual usually ly concon- Chemic Chemical al etching is usual usually ly done done with with ammoni ammonium um persu persulltainin tainingg pallad palladiu ium m andsi and simil milar ar to that that used used for throu through gh hole hole fate or ferric chloride. For PTH (plated-through holes), plati plating ng which which makes makes the expos exposed ed area area capab capable le of bondin bondingg additional steps of electroless deposition are done after metal metal ions. ions. The The lamina laminate te is then then plate platedd with with coppe copperr in the the holes are drilled, then copper is electroplated to build sensitized areas. When the mask is stripped, the PCB is up the thick thickne ness, ss, the board boardss are scree screened ned,, and plate platedd with with finished. tin/lead. The tin/lead becomes the resist resist leaving the bare copper to be etched away. Semi-additive is the most common process: The unpattern terned ed boar boardd has has a thin thin laye layerr of copp copper er alre alread adyy on it. it. A rere- The simples simplestt method, method, used for small-sc small-scale ale producti production on and verse mask is then applied. (Unlike a subtractive process often by hobbyists, is immersion etching, in which the
85
9.2. MANUFACTU MANUFACTURING RING
board board is subm submer erged gedin in etchi etching ng soluti solution on such such as ferric erric chlochloride. Compared with methods used for mass production, theetc the etchin hingg time time is long. long. Heat Heat and agitat agitatio ionn can can be appl applie iedd to the bath to speed the etching rate. In bubble etching, air is passed through the etchant bath to agitate the solution and speed up etching. Splash etching uses a motordriven paddle to splash boards with etchant; the process has become commercially obsolete since it is not as fast as spray etching. In spray etching, the etchant solution is distributed over the boards by nozzles, and recirculated by pumps. Adjustment Adjustment of the nozzle pattern, flow rate, temperature, and etchant composition gives predictable control of etching rates and high production production rates. [13] As mor moree coppe copperr is cons consume umedd from the board boards, s, the etchan etchantt becomes saturated and less effective; different etchants have different capacities for copper, with some as high as 150 grams of copper copper per litre litre of solution. solution. In commercial use, etchants can be regenerated to restore their activity, and the dissolved copper recovered and sold. Small-scale etching requires attention to disposal of used etchant, which is corrosive and toxic due to its metal content. The etchant removes copper on all surfaces exposed by the resist. “Undercut” “Undercut” occurs when etchant etchant attacks the thin edge of copper under the resist; this can reduce conductor widths and cause open-circuits. Careful control of etch time is required to prevent undercut. undercut. Where metallic plating is used as a resist, it can “overhang” which can cause short-circuits between adjacent traces when closely spaced. Overhang can be removed by wire-brushing wire-brushing the [13] board after etching.
9.2.6 9.2.6
Inne Innerr laye layerr auto automa mate ted d opti optica call insp inspecection (AOI)
The inner layers are given a complete machine inspection before lamination because afterwards mistakes cannot be corrected. The automatic optical inspection system scans the board and compares it with the digital image generated from the original design data. [14]
9.2.7 9.2.7
Lamin Laminat atio ion n
Multi-layer printed circuit boards have trace layers inside the board. board. This This is achi achiev eved ed by lamina laminatin tingg a stack stack of matematerials in a press by applying pressure and heat for a period of time. This results in an inseparable inseparable one piece piece product. For example, a four-layer PCB can be fabricated by starting from a two-sided copper-clad laminate, etch the circuitry circuitry on both sides, sides, then laminate to the top and bottom prepre prepregg and copper copper foil. It is then drilled, drilled, plated plated,, and etched again to get traces on top and bottom layers.
Eyelets (hollow).
9.2. 9.2.8 8
Dril Drilli ling ng
Holes through a PCB are typically drilled with smalldiameter drill bits made of solid coated tungsten carbide.. Coated bide Coated tungsten carbide carbide is recommen recommended ded since since many board materials are very abrasive and drilling must be high RPM and high feed feed to be cost effecti effective. ve. Drill bits must also remain sharp so as not to mar or tear the traces. Drilling with high-speed-steel high-speed-steel is simply not feasifeasible since the drill bits will dull quickly and thus tear the copper and ruin the boards. The drilling is performed performed by automated drilling machines with placement controlled drill tape or drill drill file. These by a drill These computercomputer-gene generated rated files files are also called numerically controlled drill (NCD) files or "Excellon files". files ". The drill file describes the location and size of each drilled hole. Holes may be made conductive, by electroplating or inserting metal eyelets (hollow), to electrically and thermally connect connect board layers. Some conductive conductive holes holes are intended for the insertion of through-hole-component leads. leads. Others, Others, typicall typicallyy smaller smaller and used to conn connect ect board layers, are called vias called vias.. When very small vias are required, drilling with mechanical bits is costly because of high rates of wear and breaka breakage. ge. In this case, case, the vias may be laser drilled— drilled — evaporated by lasers by lasers.. Laser-drilled vias typically typically have an inferior inferior surface surface finish inside inside the hole. These holes are called micro vias .[15][16] It is also possible with controlled-depth drilling, laser drilling, or by pre-drilling the individual sheets of the PCB before lamination, to produce holes that connect only only some of the copper layers, layers, rather rather than passing blind vias vias throu through gh theent the entire ireboa board. rd. These Theseho hole less areca are calle lledd blind when they connect an internal copper layer to an outer layer, or buried vias when they connect two or more internal copper layers and no outer layers. The hole walls for boards with two or more layers can be made conductive and then electroplated with copper to form plated-through holes .[17] These holes electrically conne connect ct the cond conduc uctin tingg laye layers rs of thePCB the PCB.. For For multi multi-la -laye yerr boards, those with three layers or more, drilling typi-
86
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
cally produces a smear of of the high temperature decomposition products of bonding agent in the laminate system. Before the holes can be plated through, this smear must be removed removed by a chemical de-smear process, process, or by plasma-etch. The de-smear process ensures that a good connection is made to the copper layers when the hole is plated plated through. through. On high high reliab reliabili ility ty boards boards a process process called called etch-back is performed chemically with a potassium permangan manganate ate based based etchan etchantt or plas plasma. ma.[18] The etch-bac etch-backk removes resin resin and the glass fibers so that the copper layers layers extend into the hole and as the hole is plated become integral with the deposited deposited copper. 9.2.9 9.2.9
Plating Plating and coating coating
PCBs[19] are plated with solder, tin, or gold over nickel as a resist for etching away the unneeded underlying copper. [20] After PCBs are etched and then rinsed with water, the solder mask is applied, and then any exposed copper is coated with solder, nickel/gold, or some other anticorrosion coating.[21][22] Matte solder is usually fused to provide a better bonding surface surface or stripped to t o bare copper. Treatments, Treatments, such as benzimidazolethiol, prevent surface surface oxidation of bare coppe copper. r. The The place placess to whic whichh compo compone nents nts will will be mounte mountedd are typically plated, because untreated bare copper oxidizes dizes quickl quickly, y, and theref therefore ore is not readily readily soldera solderable ble.. Traditionally, any exposed copper was coated with solder by hot air solder levelling (HASL ( HASL). ). The HASL finish prevents vents oxidatio oxidationn from the underl underlying ying copper, copper, thereb therebyy guar[23] anteeing a solderable surface. This solder was a tin tin-lead alloy, lead alloy, however new solder compounds are now used to achieve compliance with the RoHS the RoHS directive directive in the EU the EU and US, which which restric restricts ts the use of lead. One of these leadlead-ffreeco ree compo mpound undss is SN100C SN100CL, L, made made up of 99.3% 99.3% tin, tin, 0.7% 0.7% coppe copper, r, 0.05% 0.05% nicke nickel,l, and a nomina nominall of 60ppm 60ppm gergermanium. It is important to use solder compatible with both the PCB and the parts used. An example example is ball grid array array (BGA) using tin-lead solder balls for connections losing their balls on bare copper traces or using lead-free solder paste. Other platings used are OSP (organic surface protectant), immersion silver (IAg (IAg),), immersion tin, electroless nickel with immersion gold coating (ENIG ( ENIG),), electroless nickel electroless palladium immersion gold (ENEPIG ( ENEPIG)) and direct gold rect gold plating (over plating (over nickel). Edge connectors, connectors, placed along one edge of some boards, are often nickel plated then gold then gold plated. plated. Another coating consideration is rapid diffusion diffusion of coating metal into Tin solder. Tin forms intermetallics such as Cu 5 Sn6 and Ag3Cu that dissolve into the Tin liquid liquidus us or solidus(@ solidus(@50C), 50C), strippin strippingg surface surface coating or leaving voids. Electrochemical Electrochemical migration (ECM) is the growth of con-
ductive metal filaments on or in a printed circuit board (PCB) under the influence of a DC voltage bias. [24][25] Silver, zinc, and aluminum are known to grow whiskers grow whiskers under the influence of an electric field. Silver Silver also grows conducting surface paths in the presence of halide and other ions, making it a poor choice for electronics use. Tin will grow “whiskers” due to tension in the plated surface. Tin-Lead Tin-Lead or solder solder plating also grows whiskers, whiskers, only only reduced by the percentage percentage Tin replaced replaced.. Reflow Reflow to melt solder or tin plate to relieve surface stress lowers whisker incidence. Another coating issue is tin pest, pest, the transformation of tin to a powdery allotrope at low temperature.[26] 9.2.10
Solder Solder resist resist applicatio application n
Areas that should not be soldered may be covered with solder resist (sol (solde derr mask mask). ). One One of the the mo most st comcommon solde solderr resi resists sts used used today today is calle calledd LPI (liqu (liquid id photoimageable). [27] A photo-sensitive coating is applied to the surface of the PWB, then exposed to light through the solder mask image film, and finally developed where the unexposed areas are washed washed away. away. Dry film solder mask is similar to the dry film used to image the PWB for plating plating or etching. After being laminated laminated to the PWB surface it is imaged and develop as LPI. Once common but no longer commonly used because of its low accuracy and resolution is to screen print epoxy ink. Solder resist also provides protection from the environment. 9.2.11 9.2.11
Legend Legend printi printing ng
A lege legend nd is ofte oftenn prin printe tedd on one one or both both side sidess of the the PCB. PCB. It contains the component designators, designators , switch settings, test points and other indications helpful in assembling, testing and servicing the circuit circuit board. [28][29] There are three methods to print the legend. 1. Silk screen printing epoxy ink was the established method. It was so common that legend is often misnamed silk or silkscreen. 2. Liquid photo imaging is a more accurate method than screen printing. 3. Ink jet printing isis new but increasi increasingly ngly used. Ink jet can can prin printt vari variab able le data data suchas suchas a text text or bar cod codee with a serial number. number . 9.2.12 9.2.12
Bare-boa Bare-board rd test test
Unpopulated boards are usually bare-board tested for “shorts” and “opens”. “opens”. A short is a connection connection between between two points points that should should not be conn connecte ected. d. An open is a missing connection between points that should be connected. For high-volume high-volume production production a fixture or a rigid
87
9.2. MANUFACTU MANUFACTURING RING
needle adapter is adapter is used to make contact with copper lands on the board. Building the adapter is a significant fixed costand cost and is only only econ economic omical al for high-vo high-volum lumee or high-va high-value lue producti production. on. For small small or medium medium volume producti production on flying probe probe testers are used where test probes are moved over the board by an XY drive to make contact with the copper lands.[30] The CAM system instructs the electrical tester to apply a voltage to each contact point as required and to check that this voltage appears on the appropriate appropriate contact points and only on these. 9.2.13 9.2.13 Assem Assembl bly y
less space using surface-mount techniques. For further
comparison, see the SMT the SMT page. page.
After the board has been populated it may be tested in a variety of ways: inspection , automated • While the power is off, visual inspection, optical inspection. inspection. JEDEC JEDEC guide guidelin lines es for for PCB comcomponent ponent placeme placement, nt, solderin soldering, g, and inspec inspection tion are commonly used to maintain quality control in control in this stage of PCB manufacturing.
analysis , • While the power is off, analog signature analysis, power-off power-o ff testing testing..
• While While the the powe powerr is on, on, in-circu in-circuitit test test,, where physical physical measurements (for example, voltage) can be done.
• While the power is on, functional on, functional test, test, just checking if the PCB does what it had been designed to do.
PCB with test connection pads
After the printed circuit board (PCB) is completed, electronic components must be attached to form a functional printed circuit circuit assembly assembly ,[31][32] or PCA (sometimes called a “printed circuit board assembly” PCBA). In throughhole construction, component leads are inserted in holes. In surface-mount (SMT (SMT - surface mount technology) construction, the components are placed on pads or lands on the outer surfaces surfaces of the PCB. In both kinds of construction, component leads are electrically and mechanically fixed to the board with a molten metal solder. There are a variety of soldering of soldering techniques techniques used to attach components to a PCB. High volume production is usually done with SMT with SMT placement machine and bulk wave soldering or reflow or reflow ovens, ovens, but skilled technicians are able to solder very tiny parts (for instance 0201 packages which are 0.02 in. by 0.01 in.) [33] by hand under a microscope a microscope,, using tweezers and a fine tip soldering tip soldering iron for iron for small volume prototypes. Some parts may be extremely difficult to solder by hand, such as BGA as BGA packages. packages. Often, Often, throughthrough-hol holee and surfacesurface-moun mountt con construc struction tion must be combined in a single assembly because some required components are available only in surface-mount packages, while others are available only in through-hole packag packages. es. Another Another reason reason to use both methods methods is that through-hole mounting can provide needed strength for components components likely likely to endure physical physical stress, while components that are expected to go untouched will take up
To facili acilitat tatee these these tests, tests, PCBs PCBs may may be desi designe gnedd with with extra extra pads to make temporary connections. Sometimes these pads must be isolated with resistors. resistors. The in-circuit in-circuit test may also exercise boundary scan test scan test features of some components. In-circuit test systems may also be used to program nonvolatile nonvolatile memory components on the board. In boundary scan testing, test circuits integrated into various ICs on the board form temporary connections between the PCB traces to test that the ICs are mounted correctly. correctly. Boundary scan testing requires requires that all the ICs to be tested use a standard test configuration procedure, the most common one being the Joint Test Action Group (JTAG JTAG)) standard standard.. The JTAG The JTAG test test architecture provides a means to test interconnects between between integrated circuits on a board without using physical test probes. JTAG tool vendors provide various types of stimulus and sophisticated algorithms, not only to detect the failing nets, but also to isolate the faults faults to specific specific nets, devices, devices, and [34] pins. When boards fail the test, technicians may desolder desolder and and replace failed components, a task known as rework . 9.2.14 9.2.14
Protec Protectio tion n and and packa packaging ging
PCBs intended for extreme environments often have a conformal coating, coating, which is applied by dipping or spraying after the components have been soldered. The coat prevents corrosion and leakage currents or shorting due to condensation. The earliest conformal conformal coats were wax were wax;; modern conformal coats are usually dips of dilute solutions of silicone silicone rubber, polyurethane, acrylic, or epoxy. Anothe Anotherr techni technique que for apply applying ing a conf conform ormal al coa coatin tingg is for plastic to be sputtered be sputtered onto onto the PCB in a vacuum chamber. The chief disadvantage of conformal coatings is that servicing of the board is rendered extremely difficult. [35] Many assembled PCBs are static static sensitive, sensitive, and therefore must be placed in antistatic bags during bags during transport.
88
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
When handling these boards, the user must be grounded be grounded (earthed).. Improper handling techniques might transmit (earthed) an accumulated static charge through the board, damaging or destroying destroying components. components. Even bare boards are sometimes sometimes static sensitive. sensitive. Traces have have become so fine that it’s quite possible to blow an etch off the board (or change its characteristics) with a static charge. This is especially true on non-traditional PCBs such as MCMs MCMs and and microwave PCBs.
9.3
PCB chara characte cteris risti tics cs
Much of the electronics industry’s PCB design, assembly, and quality quality control control follo follows ws standards standardspub publis lished hed by the IPC organization. 9.3.1
Through-hole Through-hole manufacture manufacture adds to t o board cost by requiring many holes to be drilled accurately, and limits the available routing area for signal for signal traces on traces on layers immediately below below the top layer on multi-layer boards since the holes must pass through all layers to the opposite side. Once surface-mounting surface-mounting came into use, small-sized SMD components were used where possible, with throughhole mounting only of components unsuitably large for surface-mounting due to power requirements or mechanical limitations, or subject to mechanical stress which might damage the PCB.
Through-ho Through-hole le technology technology
• Through-hole devices mounted on the circuit board of a mid-1980s home mid-1980s home computer
of drill bits used bits used for making holes in printed • A box of drill
circuit circuit boards. While tungsten-carbide tungsten-carbide bits are very hard, hard, they they eventuall eventuallyy wear out or break. Making Making holes is a considerable part of the cost of a throughhole printed circuit board.
9.3.2
Surface-mo Surface-mount unt technology technology
Main article: Surface-mount article: Surface-mount technology Surface-mount technology emerged in the 1960s, gained
Through-hole (leaded) resistors
The first PCBs used through-hole technology, mounting electronic components by leads by leads inserted inserted through holes on one side of the board and soldered onto copper traces on the other side. Boards may be single-sid single-sided, ed, with an unplated component side, or more compact double-sided boards, with components components soldered on on both sides. Horizontal installation of through-hole parts with two axial leads (such as resistors, capacitors, and diodes) is done by bending the leads 90 degrees in the same direction, inserting the part in the board (often bending leads located located on the back of the board in opposite directions to improve the part’s mechanical strength), soldering the leads, and trimming off the ends. Leads may be soldered be soldered either either manually or by a wave a wave soldering machine. soldering machine.[36] Through-hole PCB technology almost completely replaced earlier electronics assembly techniques such as point-to-point constructi construction on.. From the second the second generation of computers in computers in the 1950s until surface-mount until surface-mount technol technology became popular in the late 1980s, every component on a typical PCB was a through-hole through-hole component.
Surface mount components, including resistors, transistors transistors and and an integrated circuit
momentum in the early 1980s and became widely used by the mid-1990s. Components were were mechanically mechanically redesigned designed to have small metal tabs or end caps that could be soldered directly onto the PCB surface, instead of wire leads leads to pass through holes. holes. Components became became much smaller and component placement on both sides of the board became more common than with through-ho t hrough-hole le mounting, allowing much smaller PCB assemblies with much higher higher circuit densities. densities. Surface Surface mounting lends itself well to a high degree of automation, reducing labor costs and greatly increasing production rates. Components can be supplied mounted on carrier tapes. Surface mount components can be about one-quarter to onetenth of the size and weight of through-hole components,
9.3. PCB CHARACTERISTI CHARACTERISTICS CS
and passive components much cheaper; prices of semiconductor surface conductor surface mount devices (SMDs) devices (SMDs) are determined determined more by the chip itself than the package, with little price advantage advantage over larger packages. Some wire-ended components, such as 1N4148 as 1N4148 small-signal small-signal switch diodes, are actually significantly cheaper than SMD equivalents. 9.3.3 9.3.3
Circu Circuit it proper propertie tiess of the PCB
Eachtrac Eachtracee cons consis ists ts of a flat, flat, narr narrowpart owpart of the the copper foil that remains after after etching. The resistance, resistance, determined by width and thickness, of the traces must be sufficiently low for the current the conductor will carry. Power and ground traces may need to be wider than signal traces. In a multi-layer board one entire layer may be mostly solid copper to act as a ground plane for plane for shielding and power return. For microwave For microwave circuits, circuits, transmission transmission lines can be laid out in the form of stripline stripline and and microstrip with carefully controlled dimensions to assure a consistent impedance tent impedance.. In radio-frequenc radio-frequencyy and fast fast switching circuits the the inductance and capacitance and capacitance of the printed circuit board conductors become significant circuit elements, usually undesired; but they can be used as a deliberate part of the circuit design, design, obviating the need for additional discrete components. 9.3.4 9.3.4
89 The cloth or fiber material used, resin material, and the cloth to resin ratio determine the laminate’s type designation tion (FR-4, (FR-4, CEM-1, CEM-1, G-10, G-10, etc.) etc.) and there thereffore ore the chara characcteristics of the laminate produced. Important characteristics are the level to which the laminate is fire retardant, retardant, the dielectric the dielectric constant (eᵣ), constant (eᵣ), the loss the loss factor (tδ), factor (tδ), the tensile the tensile strength,, the shear strength the shear strength, strength, the glass the glass transitio transitionn temperature (T), (T), and the Z-axis Z-axis expansi expansion on coeffic coefficient ient (how (how muc muchh the thickness changes with temperature). There are quite a few different different dielectrics that can be chosen to provide different insulating values depending on the requirements of the circuit. Some of these dielectrics are polytetrafluoroethylene are polytetrafluoroethylene (Teflon), (Teflon), FR-4, FR-1, CEM1 or CEM-3. Well known prepreg materials used in the PCB industry are FR-2 are FR-2 (phenolic (phenolic cotton paper), FR-3 (cotton paper and epoxy), FR-4 epoxy), FR-4 (woven (woven glass and epoxy), FR-5 (woven glass and epoxy), FR-6 (matte glass and polyester), G-10 (woven glass and epoxy), CEM-1 (cotton paper and epoxy), CEM-2 (cotton paper and epoxy), CEM-3 (non-woven glass and epoxy), CEM-4 (woven glass and epoxy), CEM-5 (woven glass and polyester). Thermal expansion is an important consideration especially with ball with ball grid array (BGA) array (BGA) and naked die technologies, and glass fiber offers the best dimensional stability. FR-4 FR-4 is by far themos the mostt commo commonn materi material al used used today today.. The board with copper on it is called “copper-clad laminate”.
Mate Materi rial alss
Excluding exotic products using special materials or pro- Copper thickness cesses all printed circuit circuit boards manufactured manufactured today can be built using the following four materials: Copper thickness of PCBs can be specified as units of length (in micrometers or mils) but is often specified 1. Laminates as weight of copper per area (in ounce per square foot) which is easier to measure. One ounce per square foot is 2. Copper-clad laminates 1.344 mils or 34 micrometres thickness. 3. Resin impregnated impregnated B-stage cloth cloth (Pre-preg) The printed circuit board industry defines heavy copper as layers exceeding three ounces of copper, or approx4. Copper foil imatel imatelyy 0.0042 inches inches (4.2 mils, mils, 105 μm) thick. thick. PCB designers and fabricators often use heavy copper when Laminates design and manufacturing circuit boards in order to increase current-carrying capacity as well as resistance to Laminates are manufactured by curing under pressure thermal strains. Heavy copper copper plated vias transfer transfer heat and temperature layers of cloth or paper with thermoset to external heat sinks. IPC 2152 is a standard for deterresin to resin to form an integral final piece of uniform thickness. mining current-carrying capacity of printed circuit board The size can be up to 4 by 8 feet (1.2 by 2.4 m) in width traces. and length. length. Varying Varying cloth cloth weave weavess (threads (threads per inch or cm), cloth thickness, and resin percentage are used to achieve the desired final thickness and dielectric dielectric charac characteristics. Available standard laminate thickness are listed Safety certification (US) in Table 1: Safety Standard UL 796 covers component safety reNotes: quirements for printed wiring boards for use as compoappliances. Testing Testing analyzes charac[1] Although this specification has been superseded and the nents in devices or appliances. [38] new specification specification does not list standard sizes, these are teristics such as flammability, maximum operating temperature,, electrical tracking, heat deflection, and direct still the most common sizes stocked and ordered for man- perature ufacturer. support of live electrical parts.
90
9.4
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
Mult Multiiwire wire board boardss
Multiwire is a patented technique of interconnection which uses machine-routed insulated wires embedded in a non-conducting non-conducting matrix (often plastic resin). It was used during the 1980s and 1990s. (Kollmorgen (Kollmorgen Technologies Technologies Corp, U.S. Corp, U.S. Patent 4,175,816 filed 4,175,816 filed 1978) Multiwire is still available available in 2010 through Hitachi. There are other competitive discrete wiring technologies that have been developed (Jumatech , layered sheets). Since it was quite easy to stack interconnections (wires) inside the embedding matrix, the approach allowed designers to forget completely about the routing of wires (usually a time-consuming operation of PCB design): Anywhere the designer needs a connection, the machine will draw a wire in straight line from one location/pin to another. This led to very short design times (no complex algorithms to use even for high density designs) as well as reduced crosstalk reduced crosstalk (which (which is worse when wires run parallel to each other—which almost never happens in Multiwire), wire), thoug thoughh thecos the costt is too high high to compe compete te with with chea cheaper per PCB technologies when large quantities are needed. Correc Correctio tions ns can canbe be made made to a Mu Multi ltiwir wiree board board more more easil easilyy [39] than to a PCB.
9.5
Cordw Cordwood ood constr construct uctio ion n
A cordwood module
Cordwood construction can save significant space and was often used with wire-ended components in applications where space was at a premium (such as missile guidance and telemetry systems) and in high-speed computers,, wher computers wheree short short trace tracess were were importa important nt.. In “cordwood” construction, axial-leaded components were mounted between two parallel parallel planes. The components were either soldered together with jumper wire, or they were connected to other components by thin nickel ribbon welded at right angles onto the component leads. To avoid shorting together different interconnection layers, thin insulating cards were placed between them. Perforations or holes in the cards allowed component leads to project project through to the next interconnectio interconnectionn layer. One disad disadvan vantag tagee of this this syste system m was was that that spec specia iall nickel nickel-leaded -leaded components had to be used to allow the interconnecting welds welds to be made. Differential Differential thermal expansion expansion of the component could put pressure on the leads of the components and the PCB traces and cause physical damage (as was seen in several several modules on the Apollo program).
Additionally, components located in the interior are difficult to replace. Some versions of cordwood construction construction used soldered single-sided PCBs as the interconnection method (as pictured), allowing the use of normal-leaded components. Before the advent of integrated of integrated circu circuits its,, this method allowed the highest possible component packing density; beca becaus usee of this this,, it was was used used bya numb number er of comp compute uterr venvendors including Control including Control Data Corporation. Corporation. The cordwood cordwood method of construction was used only rarely once semiconductor electronics and PCBs became widespread.
9.6 9.6
Histo istory ry
Development of the methods used in modern printed circuit cuit boards started started early in the 20th century. century. In 1903, a German inventor, Albert Hanson, described flat foil conductors laminated to an insulating board, in multiple layers. Thomas Edison experimented Edison experimented with chemical methods of plating conductors onto linen paper in 1904. Arthur Arthur Ber Berry ry in 1913 1913 paten patented ted a printprint-and and-et -etch ch metho methodd in Britain, and in the United States Max Schoop obtained a patent[40] toflame-spraymetalontoaboardthroughapatterned terned mask. mask. Charl Charles es Durcas Durcasee in 1927 1927 patent patented ed a metho methodd of electroplating circuit patterns. [41] The Austrian engineer Paul engineer Paul Eisler invented Eisler invented the printed circuit as part of a radio a radio set set while working in England around around 1936. Around Around 1943 the USA began to use the technology on a large scale to make proximity fuses for fuses for [41] use in World in World War II. II. After the war, in 1948, the USA released released the invention for commercial commercial use. Printed circuits cuits did not become become commonpl commonplace ace in con consum sumer er electro electronnics until the mid-1950s, after the Auto-Sembly process was developed by the United the United States Army. Army. At around the same time in Britain work along similar lines was carried out by Geoffrey by Geoffrey Dummer, Dummer, then at the RRDE the RRDE..
A PCB as a design on a computer (left) and realized as a board assembl assemblyy populated populated with components(right). components(right). The board board is double double sided, with through-hole plating, green solder resist and a white legend. Both surface mount and through-hole components have been used.
Before printed circuits (and for a while after their invention), point-to-point tion), point-to-point construction wa construction wass used. For protoprototypes, or small production runs, wire runs, wire wrap or wrap or turret turret board
9.7. SEE SEE ALSO
A PCB in a computer mouse. The component side (left) and the printed side (right).
The component side of a PCB in a computer mouse; some exam ples for common common components and their reference reference designations in designations in the legend.
Component and and solderside solderside
can be more efficient. Predating the printed circuit invention, tion, andsi and simil milar ar in spiri spirit,t, was was John Sarg Sargrov rovee's 1936–1947 1936–1947 Electronic Circuit Making Equipment (ECME) which sprayed sprayed metal onto a Bakelite Bakelite plastic plastic board. The ECME could produce 3 radios per minute. DuringWo During World rld War War II, the devel developm opment ent of the anti-airc anti-aircraf raftt proximity fuse required fuse required an electronic circuit that could
91 withstand being fired from a gun, and could be produced in quantity. The Centralab Division Division of Globe Union submitted a proposal which met the requirements: a ceramic plate would be screenpri screenprinted nted with with metallic paint for conductors and carbon material for resistors resistors,, with ceramic disc capacitors and subminiature subminiature vacuum tubes t ubes soldered in place.[42] The technique proved viable, and the resulting paten patentt on the proce process, ss, which which was was class classifi ified ed by the U.S. U.S. Army, Army, was was assig assigned nedto to Globe GlobeUn Unio ion. n. It was was not until until 1984 1984 that the Institute of Electrical and Electronics Engineers (IEEE) awarded Mr. Harry W. Rubinstein, Rubinstein, the former head of Globe Union’s Centralab Division, its coveted Cledo Brunetti Award for early key contributions to the development of printed components and conductors on a common insulating substrate. [43] As well, Mr. Rubinstein was honored in 1984 by his alma mater, the University of Wisconsin-Madison, for his innovations in the technology of printed electronic circuits and the fabrication of capacitors. [44] Originally, every electronic component had wire leads, and the PCB had holes drilled for each wire of each compone component. nt. The componen components’ ts’ leads were were then passed passed through the holes and soldered soldered to to the PCB PCB trace. trace. This method of assembly is called through-hole construction construction.. In 1949, Moe Abramson and Stanislaus F. Danko of the United States Army Signal Corps developed the AutoSembly process in which component component leads were inserted into a copper foil interconnection pattern and dip soldered.. The patent they obtained in 1956 was assigned to dered the U.S. Army.[45] With the development of board lamination and etching techniques, this concept evolved into the standard printed circuit board fabrication process in use today. today. Solderin Solderingg cou could ld be done done automati automatical cally ly by passing the board over a ripple, or wave, of molten solder in a wave-soldering wave-soldering machine. machine. However, the wires and holes are wasteful since drilling holes is expensive and the protruding wires are merely merely cut off. From From the 1980s 1980s small small surf surface ace mount parts parts have have been been used used increas increasingl inglyy instead instead of throughthrough-hol holee compone components; nts; this has led to smaller smaller boards for a given functionality functionality and lower lower production costs, but with some additional difficulty in servicing faulty boards. Historically many measurements related to PCB design were specified in multiples of a thousandth of an inch, inch , often often called called “mils”. For example example,, DIP and most other through-hole components have pins located on a grid spacing of 100 mils, in order to be breadboard be breadboard-friendly. -friendly. Surface-mount SOIC Surface-mount SOIC components components have a pin pitch of 50 mils. SOP mils. SOP components components have a pin pitch of 25 mils. Level B technology recommends a minimum trace width of 8 mils, which allows “double-track” – two traces between DIP pins.[46][47]
92
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
process – another process for the manufac• Occam process – turing of PCBs
• Printed electronics – creation of components by printing
circuit board milling • Printed circuit • Stripboard • Veroboard PCB materials
Schematic Capture (KiCad (KiCad )
• Conductive ink • Laminate Laminate materials: materials: • BT-Epoxy epoxy material, material, CEM-1,5 • Composite epoxy • Cyanate Ester • FR-2 FR-4, the most common PCB material • FR-4, • Polyimide PTFE,, Polytetrafluoroethylene (Teflon) • PTFE PCB layout software
PCB layout (KiCad)
companies • List of EDA companies • Comparison of EDA software software
9.8
Ref Referen erence cess
[1] IPC-14.38 [2] http://www.ipc.org/ContentPage.aspx?pageid= World-PCB-Market-Grew-in-2012 IPC World orld PCB PCB Production Report 2013
3D View (KiCad)
9.7 9.7
See also also
• Breadboard • C.I.D.+ • Design for manufacturability (PCB) • Electronic packaging • Electronic waste • Multi-chip module
[3] http://www.cs.berkeley.edu/~{}prabal/teaching/ cs194-05-s08/cs194-designflow.ppt Printe Printedd Circu Circuitit Board Design Flow Methodology [4] See appendix D of IPC-2251 [5] Tavernier, Karel. “PCB Fabrication Data - A Guide”. Guide” . Ucamco. Retrieved 8 January 2015. [6] Vermeire, Filip. “PCB Fabricati Fabrication on Data Example 1”. 1”. Ucamco. Ucamco. Retrieved 7 January 2015. [7] Vermeire, Filip. “PCB Fabricati Fabrication on Data Example 2”. 2”. Ucamco. Ucamco. Retrieved 7 January 2015. [8] “The Gerber File Format Specification”. Specification”. Ucamc Ucamco. o. Retrieved 8 January 2015. [9] “Front-end tool data preparation”. preparation”. Euroc Eurocir ircu cuit its. s. ReRetrieved 2 Sep 2013.
93
9.8. REFERE REFERENCES NCES
[10] “Making a PCB - Educational movies” movies”.. Eurocircuits. Eu- [30] “Electrical test”. test”. Eurocircuits. Retrieved 13 Apr 2015. rocircuits. Retrieved 20 January 2015. [31] [31] Ayob, Ayob, M.; M.; Kend Kendal all,l, G. (2008 (2008). ). “A Surve Surveyy of SurSur[11] Kraig Mitzner, Complete PCB Design Using OrCad Capface ace Mount Mount Devi Device ce Plac Placem emen entt Mach Machin inee Opti Optimi mi-2011 ISBN ture and Layout , pages 443–446, Newnes, 2011 ISBN satio sation: n: Machin Machinee Classi Classific ficati ation” on”.. European European JourJour0080549209.. 0080549209 nal of Operat Operation ional al Resea Researc rchh 186 (3): (3): 893– 893–91 914. 4. doi::10.1016/j.ejor.2007.03.042 doi 10.1016/j.ejor.2007.03.042.. [12] Itshak Taff, Hai Benron. Benron. “Liquid “Liquid Photoresi Photoresists sts for for Thermal Thermal Direct Imaging”. The Board Authority, October 1999. [32] [32] Ayob, yob, M.; M.; Kend Kendal all,l, G. (200 (2005) 5).. “A Tripl Triplee ObObjecti jective ve Functio Functionn with with a Cheby Chebych chev ev Dynami Dynamicc PickPick[13] [13] R. S. Khandp Khandpur, ur,Printed circuit boards: design, fabrication, fabrication, and-place and-p lace Point Poi nt Specifica Speci fication tion Approach Appro ach to Optimise Optimi se assembly and testing , Tata-McGraw Hill, 2005 ISBN 2005 ISBN 0the Surface Surface Mount Placement Placement Machine” Machine”.. European 07-058814-7,, pages 373–378 07-058814-7 Journal Journal of Operation Operational al Researc Researchh 164 (3): (3): 609–62 609–626. 6. doi::10.1016/j.ejor.2003.09.034 doi 10.1016/j.ejor.2003.09.034.. [14] “Inner layer inspection”. inspection”. Eurocircuits. Retrieved 31 Aug 2013. [33] Borkes, Borkes, Tom. “SMTA Tom. “SMTATec TechScan hScanCompe Compendiu ndium: m: 0201 De[15] http://www.somacis.com/prodotti/tecnologie_detail. sign, sig n, Assem Assembly blyand and Proce Process” ss” (PDF). (PDF). Surface Surface Mount TechTechphp?language=en&tec=8&title=laser-drilling nology Association. Retrieved 2010-01-11. [16] http://www.magazines007.com/pdf/PCB-May2013.pdf| [34] [34] JTAG JTAG Tuto Tutori riaal (http://www.corelis.com/education/ title= Microvia title= Microvia Fabrication: Fabrication: When to drill, When to Blast JTAG_Tutorial.htm#History) JTAG_Tutorial.htm#History) [17] “Making Holes Conductive”. Conductive”. Electronic Chemicals . Re[35] Shibu. Intro Intro To Embedded Embedded Systems Systems1E 1E . TataMcGraw-Hill. TataMcGraw-Hill. trieved 5 Sep 2012. p. 293. ISBN 293. ISBN 978-0-07-014589-4. 978-0-07-014589-4 . [18] “Electro-Brite E-Prep Desmear/Etchback”. Desmear/Etchback”. OM Grou Group, p, [36] Electronic Electronic Packaging Packaging:Solde :Solderr Mounting Mounting Technol Technologies ogies in Inc. Retrieved 5 Sep 2012. K.H. Buschow et al (ed), Encyclopedia Encyclopedia of Materials: Science Science and Technol Technology ogy, Elsev Elsevie ier, r, 2001 2001 ISBN 0-08[19] Appendix F Sample Fabrication Sequence for a Stan043152-6,, pages 2708–2709 043152-6 dard Printed Circuit Board, Board, Linkages Linkages:: Manufactu Manufacturing ring Trends in Electronics Interconnection Technology, Na[37] “Design Standard for Rigid Printed Boards and Rigid tional Academy of Sciences Printed Board Assemblies”. IPC. September 1991. IPC[20] Production Methods and Materials 3.1 General Printed General Printed 4101. Wiring Board Project Report – Table of Contents, Design for the Environment (DfE), US EPA [38] “Specification for Base Materials for Rigid and Multilayer Printed Boards” (IPC-4101). ANSI/IPC. December [21] George Milad and Don Gudeczauskas. “Solder Joint Re1997. ANSI/IPC-D-275. liability of Gold Surface Finishes (ENIG, ENEPIG and DIG) for PWB Assembled with Lead Free SAC Alloy.” [39] David E. Weisber Weisberg. g. “Chapter 14: Intergraph”. Intergraph”. 2008. p. 14-8. [22] “Nicke “Nickel/Gold l/Gold tab plating line” [23] Soldering 101 – A Basic Overview Overview
[40] US 1256599
[24] IPC Publication IPC Publication IPC-TR-476A, “Electrochemical “Electrochemical Migra- [41] Charles A. Harper, Electronic materials and processes handbook , McGraw-Hil McGraw-Hill,2003 l,2003 ISBN 0-07-1 0-07-140214 40214-4 -4,, tion: Electrically Induced Failures in Printed Wiring Aspages 7.3 and 7.4 semblies,” Northbrook, IL, May 1997. [25] S.Zhan, M. H. Azarian and M. Pecht, “Reliability Issues [42] Brunetti, Cledo (22 November 1948). New Advances of No-Clean Flux Technology with Lead-free Solder Alin Printed Circuits. Washington DC: National Bureau of loy for High Density Printed Circuit Boards”, 38th InterStandards. national Symposium on Microelectronics, pp. 367–375, Philadelphia, Philadelphia, PA, September 25–29, 2005. [43] [43] IEEE IEEE Cled Cledoo Brun Brunet etti ti Award ward,, http://www.ieee.org/ documents/brunetti_rl.pdf [26] Clyde F. Coombs Printed Circuits Handbook McGraw– Hill Professional, Professional, 2007 ISBN 2007 ISBN 0-07-146734-3, 0-07-146734-3, pages 45– [44] Engineers’ Day, 1984 Award Recipients, College of En19 gineering, University University of Wisconsin-Madison, Wisconsin-Madison, http://www. http://www. engr.wisc.edu/eday/eday1984.html [27] “liquid photoimageable solder masks” (PDF). masks” (PDF). Coates Circuit Products. Retrieved 2 Sep 2012. [45] US 2756485 assigned 2756485 assigned to US Army. July 31, 1956. [28] “Silk-screen and cure”. cure”. Eurocircui Eurocircuits. ts. Retrieve Retrievedd 31 Aug [46] Kraig Mitzner. “Comp “Complete lete PCB Design Using OrCad 2013. Capture and Layout”. Layout”. 2011. [29] “Towards a more rational silkscreen”. silkscreen”. Optimum Optimum Design Design Associates. Retrieved 31 Aug 2013. [47] “TINA PCB DesignManual”. DesignManual” .
94
9.9
•
CHAPTER 9. PRINTED PRINTED CIRCUIT CIRCUIT BOARD
Exte Extern rnal al link linkss A collection of board & module construction techniques (Italian, 2 pp.)
Fabrication Data - A Guide • PCB Fabrication • The Gerber Format Specification
Chapter 10
Electric current the eponymous Ampère’s eponymous Ampère’s force law, law, which he discovered [5] in 1820. The notation travelled from France to Great Britain, where it became standard, although at least one journal did not change from using C to to I until until 1896. [6]
10.2 10.2
Con Convent ventio ions ns
Flow of positive charge Flow of electrons
A simple electric circuit, where current is represented by the letter i. The relationship between the voltage (V), resistance (R), and current (I) is V=IR; this is known as Ohm’s as Ohm’s Law. Law.
An electric electric tric charge charge.. In electri electricc electric current current isaflowof elec circ circui uits ts this this char charge ge is often often carri carried ed by movin movingg electrons in a wire wire.. It can also be carried by ions ions in in an electrolyte an electrolyte,, or by both ions and electrons such as in a plasma a plasma..[1] The SI unit for measuring an electric current is the ampere,, which is the flow of electric charge across a surampere face at the rate of one coulomb one coulomb per per second. Electric current is measured using a device called an ammeter ammeter..[2] Electric currents cause Joule cause Joule heating, heating, which creates light creates light in incandescent in incandescent light bulbs. bulbs . They They also also create create magnetic magnetic fields,, which fields which areuse are usedd in motors motors,, induc inductor torss andge and gene nerat rators ors.. The particles that carry the charge in an electric current are called charge called charge carriers. carriers . In metals In metals,, one or more electrons from each each atom are loosely bound to the atom, and can move move freely reely about about within within the metal. metal. These These conduction electrons are electrons are the t he charge carriers in metal conductors.
10.1 10 .1
Sym Symbol bol
The conventional symbol for current is I , which originates from the French phrase intensité de courant , or in English current intensity .[3][4] This phrase is frequently used when discussing the value of an electric current, but modern practice often shortens this to simply current . The I symbol was used by André-Marie Ampère, Ampère , after whom whom theuni the unitt of elec electri tricc curre current nt is named, named, in form ormula ulatin tingg
The electrons , , the charge The electrons the charge carriers in carriers in an electrical circuit, flow in the opposite direction of the conventional electric current.
In metals, which make up the wires and other conductors in most electrical most electrical circuits, circuits , the positively charged atomic nuclei are held in a fixed position, and the electrons electrons are are free to move, carrying their charge from one place to another. other. In other material materials, s, notabl notablyy the semiconductors semiconductors,, the charge carriers can be positive or negative, negative, depending on the dopant used. Positive Positive and negative negative charge carriers carriers may even be present at the same time, as happens in an electrochemical cell. cell . A flow of positive charges gives the same electric current, and has the same effect in a circuit, as an equal flow of negative negative charges in the opposite direction. Since current can be the flow of either either positive or negative charges, or both, a convention convention is needed for the direction direction of current that is independent of the type of charge carriers. carriers. The direction direction of conventional current is arbitrarily arbitrarily defined as the same direction as positive charges flow. The consequence of this convention is that electrons, the charge carriers in metal wires and most other parts of
95
96
CHAPTER 10. ELECTRIC CURRENT CURRENT
10.3 10 .3
Ohm’ Ohm’ss law law
Main article: Ohm’s article: Ohm’s law Ohm’s law states that the current through a conductor between two points is directly proportional to proportional to the potential difference acro difference across ss the two points. Introduci Introducing ng [7] the constant of proportionality, the resistance the resistance,, one arrives at the usual mathematical equation that describes this relationship: [8]
I =
V R
where I is is the current through the conductor in units of amperes,, V is amperes is the potential difference measured across the conductor in units of volts of volts,, and R is the resistance the resistance of of the conductor in units of ohms of ohms.. More specifically, Ohm’s law states that the R in this relation is constant, independent of the current. [9]
10.4 10 .4
The symbol The symbol for for a battery in a circuit a circuit diagram. diagram.
AC and and DC
The abbreviations abbreviations AC and DC are are often used to mean simply alternating and direct , as when they modify current or or voltage.[10][11] 10.4.1 10.4.1
Direct Direct curren currentt
electric circuits, flow in the opposite direction of convenMain article: Direct article: Direct current tional current flow in an electrical circuit.
10.2.1
Referen Reference ce direction direction
Sincethecurrentinawireorcomponentcanflowineither direction, when a variable I is defined to represent that current, the direction representing positive current must be specified, usually by an arrow on the circuit schematic reference ence direction of curr diagram.. Thisiscalledthe refer diagram current ent I . If the current flows in the opposite direction, the variable has a negative value. I has When analyzing electrical circuits, the actual direction of current through a specific circuit element is usually unknown. Consequently, Consequently, the reference reference directions directions of currents are often assigned assigned arbitrarily. arbitrarily. When the circuit circuit is solved, a negative value for the variable means that the actual direction of current through that circuit element is opposite that of the chosen reference reference direction. direction. In electronic circuits, the reference current directions are often chosen so that all currents currents are toward toward ground. This often corresponds to the actual current direction, because in many circuits the power the power supply voltage supply voltage is positive with respect respect to ground.
Direct current (DC) is the unidirectional unidirectional flow of electric of electric charge.. Direct charge Direct current current is produced produced by sources sources such such as batteries,, thermocouples, batteries thermocouples, solar cells, cells , and commutatortype electric machines of the dynamo dynamo type. type. Direct current may flow in a conductor a conductor such such as a wire, but can also flow through semiconductors through semiconductors,, insulators insulators,, or even through a vacuum as vacuum as in electron or ion beams. beams . The electric charge flows flows in a con consta stant nt direc directio tion, n, distin distingui guish shing ing it from rom alternating current (AC). current (AC). A term A term formerly used for used for direct current was was galvanic current.[12] 10.4.2 10.4.2
Alterna Alternatin ting g curren currentt
Main article: A article: Alternating lternating current In alternating current (AC, also ac), the movement of electric charge periodic charge periodically ally reverses reverses direction. In direct current (DC, current (DC, also dc), the flow of electric charge is only in one direction. direction. AC is the form of electric of electric power delivered power delivered to businesses and reside residence nces. s. The usual usual waveform waveform of of an AC an AC power circuit is a sine a sine wave. wave. Certain applications use different
10.8. ELECTROMAGN ELECTROMAGNETIS ETISM M
97
waveforms, such as triangular as triangular or or square waves. waves . Audio was proportional was proportional to to the square the square of of the current multiplied and radio and radio signals signals carried on electrical wires are also ex- by the electrical the electrical resistance of resistance of the wire. ample ampless of alterna alternatin tingg curre current. nt. An importan importantt goal goal in these applications is recovery of information encoded (or modulated ) onto the AC signal. Q ∝ I 2 R This relationship is known as Joule’s First Law. Law. The SI unit of unit of energy energy was was subsequently named the joule joule and and given the symbol J . The commonly known unit of power, the watt,, is equivalent to one joule per second. Natural observable examples of electrical current include the watt lightning,, static electricity, lightning electricity, and the solar the solar wind, wind, the source of the polar the polar auroras. auroras. Man-made occurrences of electric current include the 10.8 Electr Electroma omagne gnetis tism m flow of conduction electrons in metal wires such as the overhead power lines that deliver electrical deliver electrical energy across energy across 10.8.1 Electro Electromag magnet net long distances and the smaller wires within electrical and 10.8.1 electronic equipment. Eddy equipment. Eddy currents are currents are electric currents article: Electromagnet that occur in conductors exposed to changing magnetic Main article: Electromagnet Electric current produces a magnetic a magnetic field. field . The magnetic magnetic fields. fields. Similarly, Similarly, electric currents occur, particularly particularly in the surface, of conductors exposed to electromagnetic waves.. When oscillating electric waves electric currents flow at the correct voltages within radio within radio antennas, antennas, radio waves are waves are generated. In electronics In electronics,, other forms of electric current include the flow of electrons through resistors through resistors or or through the vacuum in a vacuum tube, tube, the flow of ions inside a battery battery or or a neuron,, and the flow of holes neuron of holes within within a semiconductor a semiconductor..
10.5 10.5
Occu Occurr rren ence cess
10.6
Curren Currentt measu measure reme ment nt
Current can be measured using an ammeter an ammeter.. At the circuit level, there are various techniques that can be used to measure current: current:
• Shunt resistors[13] effect current sensor transducers • Hall effect current • Transformers (however DC cannot be measured) • Magnetoresistive field sensors [14] 10.7
Resis Resisti tive ve heatin heating g
Main article: Joule article: Joule heating Joule heating, also known as ohmic heating and resistive heating, is the process by which the passage of an electric current through a conductor a conductor releases releases heat heat.. It was first studied by James by James Prescott Joule in Joule in 1841. Joule immersed immersed a length of wire in a fixed mass fixed mass of of water water and and measured the temperature the temperature rise rise due to a known current through the wire for a 30 minute 30 minute period. period. By varying the current and the length of the wire he deduced that the heat produced
Accordi According ng to Ampère’s Ampère’s law , an electric current produces a magnetic field .
field can be visualized as a pattern of circular field lines surrounding the wire that persists as long as there is current. Magnetis Magnetism m can also produce produce electric electric currents. currents. When When a changing magnetic field is applied to a conductor, an Electromotive force (EMF) force (EMF) is produced, and when there is a suitable suitable path, this causes current. Elec Electr tric ic curr curren entt can can be dire direct ctly ly meas measur ured ed with with a galvanometer,, but this galvanometer this metho methodd invo involv lves es brea breakin kingg the electrical circuit, circuit, which is sometimes inconvenient. inconvenient. Current can also be measured without breaking the circuit by detecting the magnetic field associated with the current. Devices used for this include Hall include Hall effect sensors, sensors , current clamps,, current transformers, clamps transformers, and Rogowski and Rogowski coils. coils .
98 10.8.2 10.8.2
CHAPTER 10. ELECTRIC CURRENT CURRENT
Radio Radio wave wavess
Main article: Radio article: Radio waves When an electric current flows in a suitably shaped conductor at ductor at radio radio frequencies radio waves can waves can be generated. These travel at the speed of light and can cause electric currents in distant conductors. conductors.
10.9 10 .9
Cond Conduc ucti tion on mecha echani nissms in various media
Main article: Electrical article: Electrical conductivity In metallic solids, electric charge flows by means of electrons,, from lower to higher electrical potential. electrons potential . In other media, any stream of charged objects (ions, for example) may constitute an electric current. To provide a definition of current independent of the type of charge carriers, conventional current is defined as moving in the same direction as the positive positive charge flow. So, in metals where the charge carriers (electrons) are negative, conventional ventional current is in the opposite direction direction as the electrons. In conductors where the charge carriers are positive, conventional current is in the same direction as the charge carriers. In a vacuum a vacuum,, a beam of ions or electrons may be formed. In other conductive conductive materials, the electric current is due to theflo the flow w of both both positi positive vely ly andne and negat gativ ivel elyy char charged ged partiparticles cles at the same same time. time. In still still other others, s, the cur curre rent nt is entire entirely ly dueto posi positiv tivee cha charge rge flow flow.. For exampl example, e, the electri electricc currents in electrolytes in electrolytes are are flows of positively and negatively charged charged ions. ions. In a common common lead-aci lead-acidd electrochemical cell, electric currents are composed of positive hydrogen ions (protons) flowing in one direction, and negative sulfate fate ions flowing in the other. Electric currents currents in sparks in sparks or plasma or plasma are are flows of electrons as well as positive and negative negative ions. In ice and in certain solid electrolytes, electrolytes, the electric current is entirely composed of flowing ions. 10.9 10.9.1 .1
Meta Metals ls
A solid conductive metal conductive metal contains mobile, or free or free electrons,, which function as conduction electrons. trons electrons . The These electrons are bound to the metal the metal lattice but lattice but no longer to an individual individual atom. Metals are particularly particularly conductiv conductivee because there are a large number of these free electrons, typicall typicallyy one per atom in the lattice. lattice. Even Even with no external electric ternal electric field applied, field applied, these electrons move about randomly due to thermal to thermal energy but, energy but, on average, average, there is zero net current within the metal. At room temperature, the average speed of these random motions is 10 6 metres tres per per secon second. d.[15] Give Givenn a surf surface ace throu through gh which which a metal metal wire passes, electrons move in both directions directions across the
surface surface at an equal rate. As George As George Gamow wrote Gamow wrote in his Three...Infinity (1947), popular science book, science book, One, Two, Three...Infinity “The metallic substances differ from all other materials by the fact that the outer shells of their atoms are bound rather loosely, and often let one of their electrons go free. Thus the interior of a metal is filled up with a large number of unattached electrons that travel aimlessly around like like a cro crowd wd of displac displaced ed persons. persons. When When a metal wire is subjected to electric force applied on its opposite ends, these free free electrons electrons rush in the direction direction of the force, force, thus forming what we call an electric current.” When a metal wire is connected across the two terminals of a DC a DC voltage source such source such as a battery a battery,, the source places places an electri electricc field field acro across ss the conducto conductor. r. The The moment moment contact is made, the free the free electrons of electrons of the conductor are forced to drift toward the positive the positive terminal terminal under the influence of this field. The free electrons electrons are theref t herefore ore the charge carrier in carrier in a typical solid conductor. For a steady flow of charge through a surface, the current I (in (in amperes) can be calculated with the following equation:
I =
Q , t
where Q is the elec electri tricc char charge ge trans transfferred erred throu through gh the sursurface over a time a time t . If Q and and t are are measured in coulombs in coulombs and seconds respectively, I is is in amperes. More generally, electric current can be represented as the rate at which charge flows through a given surface as:
I =
dQ . dt
10.9.2 10.9.2
Electro Electrolyt lytes es
Main article: Conductivity article: Conductivity (electrolytic) Electric currents in electrolytes in electrolytes are are flows of electrically charged particles (ions ( ions).). For example, example, if an electric electric field + − is place placedd acros acrosss a solut solutio ionn of Na and Cl (and conditions conditions areri are right ght)) thesod the sodiu ium m ions ions move move toward towardss thene the negat gativ ivee elecelectrode (cathode), while the chloride ions move towards the positive electrode (anode). Reactions take place at both electrode surfaces, absorbing each ion. Water-i Water-ice ce and cert certain ain solid solid electr electroly olytes tes called called proto protonn conductors contain ductors contain positive hydrogen ions ("protons (" protons") ") that are mobile. In these materials, electric currents are composed of moving protons, as opposed to the moving electrons in metals. In certain electrolyte mixtures, brightly coloured ions are the moving moving electric electric charges. charges. The slow slow progress progress of the colour makes the current visible. [16]
99
10.9. CONDUCTION CONDUCTION MECHANISM MECHANISMSS IN VARIOUS MEDIA MEDIA
10.9.3 10.9.3
Gases Gases and plasmas plasmas
In air and other other ordin ordinary ary gases below below the breakd breakdown own field, field, the dominant source of electrical conduction is via relatively few mobile ions produced by radioactive gases, ultraviol ultraviolet et light, light, or cosmic cosmic rays. Since Since the electrica electricall conductivity is low, gases are dielectrics or or insulators. insulators. However, once the applied electric field approaches field approaches the breakdown value, breakdown value, free electrons become sufficiently accelerated by the electric field to create additional free electrons by colliding, and ionizing and ionizing,, neutral gas atoms or molecules in a process called avalanche breakdown. breakdown . The breakdown process forms a plasma a plasma that that contains enough mobile electrons and positive ions to make it an electrical conductor. conductor. In the process, it forms forms a light emitting conductive path, such as a spark a spark,, arc arc or or lightning lightning.. Plasma is Plasma is the state of matter where some of the electrons in a gas are stripped or “ionized” from their molecules their molecules or or atoms. A plasma can be formed by by high temperature high temperature,, or by application of a high electric or alternating magnetic field as noted above. Due to their lower mass, the electrons in a plasma accelerate more quickly quickly in response response to an electric field than the heavier positive ions, and hence carry the bulk of the current. The free ions ions recombine recombine to create new chemical compounds (for example, breaking atmospheric oxygen into single oxygen [O 2 → 2O], which then recombine creating ozone creating ozone [O [O3 ]).[17]
10.9.4 10.9.4 Vacuu acuum m
Sincea"perf Sincea" perfect ect vacuum vacuum"" con contains tains no charged charged particle particles, s, it normally behaves as a perfect insulator. However, metal electrode surfaces can cause a region of the vacuum to become conductive by injecting free electrons or ions or ions through through either either fie field ld ele electro ctronn emis emissio sionn or thermioni thermionicc emission.. Thermionic emission occurs when the thermal ension ergy exceeds the metal’s work metal’s work function, function, while field while field electron emission occurs emission occurs when the electric field at the surface of the metal is high enough to cause tunneling tunneling,, which results in the ejection of free electrons from the metal into the vacuum. Externally heated electrodes are often used to generate an electron an electron cloud as cloud as in the filament the filament or or indirectly heated indirectly heated cathode of cathode of vacuum vacuum tubes. tubes. Cold electrodes can also spontaneously produce electron clouds via thermionic emission when small incandescent regions anode spots spots) are formed (called cathode spots or anode formed.. These These are incandescent regions of the electrode surface that are created by a localized localized high current. These regions regions may be initiated by field by field electron emission, emission , but are then sustained by localized thermionic emission once a vacuum arc fo arc forms. rms. These These small small electron-e electron-emitti mitting ng regions regions can form quite rapidly, even explosively, on a metal surface subjected to a high electrical field. Vacuum tubes and tubes and sprytrons are sprytrons are some of the electronic switching and amplifying devices based on vacuum conductivity.
10.9.5 10.9.5
Superc Supercond onduct uctiv ivity ity
Main article: Superconductivity article: Superconductivity Superco Superconduc nductivi tivity ty is a phenome phenomenon non of exactl exactlyy zero electrical resistance and resistance and expulsion of magnetic of magnetic fields ocfields occurring in certain materials when cooled when cooled below below a characteristic critical teristic critical temperature. temperature. It was discovered by Heike by Heike Kamerlingh Onnes on April 8, 1911 in Leiden Leiden.. Lik Like ferromagnetism and ferromagnetism and atomic atomic spectral lines, lines , superconducsuperconductivity is a quantum a quantum mechanical phenomenon. mechanical phenomenon. It is characterized by the Meissner the Meissner effect, effect, the complete ejection of magnetic field lines from lines from the interior of the superconductor as it transitions into the superconduc superconducting ting state. The occurrence of the Meissner effect indicates that superconductivity cannot be understood simply as the idealization of perfect conductivity in classical in classical physics. physics . 10.9.6 10.9.6
Semico Semicond nduct uctor or
Main article: Semiconductor article: Semiconductor In a semiconductor a semiconductor it it is sometimes useful useful to think of the current as due to the flow of positive positive "holes " holes"" (the mobile positive charge carriers that are places where the semiconductor crystal is missing a valence electron). This is the case in a p-type semiconduc semiconductor. tor. A semiconduc semiconductor tor has electrical has electrical conductivity intermediate conductivity intermediate in magnitude between that of a conductor a conductor and and an insulator an insulator.. This means a conductivity roughly in the range of 10 −2 to 104 siemens per centimeter (S⋅cm −1 ). In the classic crystalline semiconductors, electrons can have energies energies only only within certain bands (i.e. ranges of levels levels of energy). Energetically, Energetically, these bands are located between the energy of the ground state, the state in which elec electro trons ns are tight tightly ly bound bound to the atomi atomicc nuc nucle leii of the material, and the free electron energy, the latter describing the ener energy gy requir required ed for an elec electro tronn to esca escape pe entir entirel elyy from rom the materi material. al. The ener energy gy bands bands eac eachh corre corresp spond ond to a large large number of discrete quantum discrete quantum states of states of the electrons, and most of the states with low energy (closer to the nucleus) are occupied, up to a particular band called the valence band . Semiconductors Semiconductors and insulators insulators are distinguished distinguished from metals from metals because because the valence band in any given metal is nearly filled with electrons under usual operating conditions, while very few (semiconductor) or virtually none (insulator) (insulator) of them are available in the conduction band , the band immediately above the valence band. The ease of exciting electrons in the semiconductor from the valence band to the conduction band depends on the bandd gap betwe ban between en the bands. bands. The size size of this this ener energy gy band band gap serves as an arbitrary dividing line (roughly 4 eV eV)) between semiconductors and insulators and insulators.. With covalent covalent bonds, an electron moves by hopping to a neighboring neighboring bond. The Pauli The Pauli exclusion principle requires principle requires
100
CHAPTER 10. ELECTRIC CURRENT CURRENT
that the electron be lifted into the higher anti-bonding state of that bond. For delocalized delocalized states, for example example in one dimension – that is in aa nanowire nanowire,, for every energy there is a state with electrons flowing in one direction and another state with the electrons flowing in the other. For a net current to flow, more states for one direction than for the other direction direction must be occupied. For this to occur, energy is required, as in the semiconductor semiconductor the next higher states lie above the band gap. Often this is stated as: full bands do not contribute to the electrical conductivity.. However, as a semiconductor’s temperature rises tivity above absolute above absolute zero, zero, there is more energy in the semiconductor to spend on lattice vibration and on exciting electrons electrons into the t he conduction band. The current-carrying electrons electrons in the conduction conduction band are known as free electrons, though they are often simply called electrons if that is clear in context.
to diffusion to diffusion constant D and charge and charge density αq . The current density is then: J = σ E + + Dq n,
∇
with q being being the elementary the elementary charge and n the electron density. The carriers move in the direction of decreasing concentration, so for electrons a positive current results for a positive density gradient. If the carriers are holes, replace replace electr electron on density density n by the the nega negati tive ve of the the hole density p . In linear anisotropic linear anisotropic materials, materials, σ , ρ and D are tensors are tensors.. In linear materials such as metals, and under low frequencies, the current density across the conductor surface is uniform. uniform. In such condition conditions, s, Ohm’s Ohm’s law states law states that the current is directly proportional to the potential difference between two ends (across) of that metal (ideal) resistor other ohmic device): device): Curr Curren entt dens densit ity y and Ohm’ Ohm’ss (or other ohmic
10.10 10.10
law Main article: Current article: Current density Current density is a measure of the density of an electric current. It is defined as a vector vector whose whose magnitude is the electric current per cross-sectional area. In SI units, units, the current density is measured in amperes per square metre. I =
∫
⃗ dA ⃗ J
I =
V , R
where I is the current, measured in amperes; V is is the potential difference, difference , measured in volts volts;; and R is the resistance,, measured in ohms resistance in ohms.. For alternating For alternating currents, currents, especially at higher frequencies, skin effect causes effect causes the current to spread unevenly across the conductor crosssectio section, n, with with high higher er dens density ityne near ar the surf surface ace,, thus thus incre increasasing the apparent resistance. resistance.
· ·
where I is current in the conductor, conductor, J ⃗ is the current density, and dA ⃗ is the differential cross-sectional area vector. The current density (current per unit area) J ⃗ in materials with finite resistance finite resistance is is directly proportional to the ⃗ in electric field E in the medium. The proportionality proportionality constant is called the conductivity the conductivity σ of the material, material, whose value depends on the material concerned and, in general, is dependent on the temperature t emperature of the material:
10.11 10.11
Drif Drift spee speed d
The mobile charged particles within a conductor move constantly in random directions, like the particles of a gas.. To create gas create a net flow of charge, charge, the particles particles must also move together with an average drift rate. Electrons are the char charge ge carrie carriers rs in metals and they they follow ollow an errati erraticc path, bouncing from atom to atom, but generally drifting in the opposite direction of the electric field. The speed they drift at can be calculated from the equation:
⃗ = σ E ⃗ J I = nAvQ nAvQ ,
The reciprocal of the conductivity the conductivity σ of the material is called the resistivity the resistivity ρ of the material and the above where equation, when written in terms of resistivity becomes:
I is is the electric current ⃗ ⃗ = E J ρ ⃗ = ⃗ E = ρ J
Conduction in semiconductor in semiconductor devices may occur by a combination of drift and diffusion, which is proportional
n is number of charged particles per unit vol-
ume (or charge carrier density) A is the cross-sectional area of the conductor conductor v is the drift the drift velocity, velocity, and Q is the charge on each particle.
101
10.13. REFERE REFERENCES NCES
Typically, Typically, electric charges in solids flow slowly. slowly. For ex- 10.13 10.13 Refer Referenc ences es 2 ample, in a copper a copper wire wire of cross-section 0.5 mm , carrying a current of 5 A, the drift the drift velocity of velocity of the electrons is [1] Anthony C. Fischer-Cripps (2004). The electronics comon the order of a millimetre per second. To take a dif panion. CRC Press. p. 13. ISBN 13. ISBN 978-0-7503-1012-3. 978-0-7503-1012-3. ferent example, in the near-vacuum inside a cathode ray tube,, the electrons travel in near-straight lines at about a [2] Lakatos, John; Oenoki, Keiji; Judez, Hector; Oenoki, tube Kazushi; Hyun Kyu Cho (March 1998). “Learn Physics tenth of the speed the speed of light. light . Today!".. Lima, Peru: Colegio Dr. Franklin D. Roosevelt. Today!" Retrieved 2009-03-10. Any acce acceler lerating ating electri electricc charge charge,, and theref therefore ore any changing changing elect electric ric curr current, ent, gives gives rise to an electromagnetic an electromagnetic T. T. L. Lowe, Lowe, John John Rounce Rounce,, Calculatio Calculations ns for A-level A-level Physic Physicss, wave that propagates at very high speed outside the sur- [3] p. 2, Nelson Thornes, 2002 ISBN 2002 ISBN 0-7487-6748-7. 0-7487-6748-7. face face of the t he conductor. This speed is usually a significant significant fraction of the speed of light, as can be deduced from [4] Howard M. Berlin, Frank C. Getz, Principles of Electronic Instrumentation and Measurement , p. 37, Merri Merrillll Pub. Pub. Maxwell’s Equation E quationss, and is therefore therefore many times faster Co., 1988 ISBN 1988 ISBN 0-675-20449-6. 0-675-20449-6. than the drift veloci velocity ty of the electron electrons. s. For example example,, in AC in AC power lines, lines , the t he waves of electromagneti electromagneticc energy [5] A-M Ampère, Recuil d'Observations Électro-dynamiques Électro-dynamiques, propagate through the space between the wires, moving p. 56, Paris: Chez Crochard Libraire 1822 (in French). from a source to a distant load distant load,, even though the electrons in the the wire wiress onlymov onlymovee back backan andd forth orth over over a tinydis tinydistan tance ce.. [6] Electric Power , vol. 6, p. 411, 1894. The ratio of the speed of the electromagnetic wave to the [7] Consol Consoliv iver, er, Earl Earl L., and Mitchel Mitchell,l, Grove Groverr I. (1920) (1920).. speed of light in free space is called the velocity factor, factor, Automotive ignition systems . McGraw-Hill. p. 4. andde and depe pend ndss on theel the elec ectro tromag magne netic ticpr prope operti rties es of theco the connMillikan and E. S. Bishop (1917). Elements of ductor and the insulating materials surrounding it, and on [8] Robert A. Millikan and Electricity. American Technical Society. p. 54. their shape and size. Heaviside (1894). Electrical papers 1 . Macmillan The magnitudes (but, not the natures) of these three ve- [9] Oliver Heaviside and Co. p. 283. ISBN 283. ISBN 0-8218-2840-1. 0-8218-2840-1. locities can be illustrated by an analogy with the three similar velocities associated with gases. [10] N. N. Bhargava and D. C. Kulshreshtha (1983). Basic
•
Electronics & Linear Circuits. Tata McGraw-Hill Education. p. 90. ISBN 90. ISBN 978-0-07-451965-3. 978-0-07-451965-3 .
Thelo The low w drift drift veloc velocity ity of charg chargee carri carriers ersis is analo analogou gouss to air motion; in other words, winds. [11] National National Electric Electric Light Light Associati Association on (1915). (1915). Electri Electrical cal me-
• The high speed of electromagnetic waves is roughly
terman’s handbook . Trow Press. p. 81.
[12] Andre Andrew w J. Robin Robinson son,, Lynn Lynn Snyder Snyder-Ma -Mackl ckler er (2007) (2007).. anal analog ogou ouss to the the spee speedd of soun soundd in a gas gas (the (these se wave wavess [12] Clin Clinical ical Electroph Elect rophysiol ysiology: ogy: Electrother Electr otherapy apy and ElectroElectr omove through the medium much faster than any in physiologic Testing (3rd (3rd ed.). ed.). Lippin Lippincot cottt Williams Williams & dividual particles do) Wilkins. p. 10. ISBN 10. ISBN 978-0-7817-4484-3. 978-0-7817-4484-3 .
•
Theran The rando dom m motio motionn of char charge gess is analo analogou gouss to heat heat – [13] What is a Current Sensor and How is it Used?. Used?. Fothe thermal velocity of randomly vibrating gas parcus.ti.com. Retrieved on 2011-12-22. ticles.
10.1 10 .12 2
See See also also
• Current 3-vector • Direct current • Electric shock • Electrical measurements • History of electrical engineering • Hydraulic analogy • Internationa Internationall System of Quantities • SI electromagnetism units
[14] Andreas P. Friedrich, Helmuth Lemme The Universal Current Sensor. Sensor. Sensor Sensorsm smag. ag.com com (2000(2000-0505-01) 01).. Retrieved on 2011-12-22.
[15] “The Mech Mechanis anism m Of Cond Conducti uction on In Metal Metals” s”,, Think Think Quest. Quest. [16] Rudolf Holze, Experimental Electrochemistry: A Laboratory Textbook , page 44, John Wiley & Sons, 2009 ISBN 2009 ISBN 3527310983.. 3527310983 [17] “Lab Note #106 Environmental Impact of Arc SuppresSuppression ion Techn Technolog ologies. ies. April 2011. 2011. Resion". Arc Suppress trieved March 15, 2012.
10.14 10.14
Exte Extern rnal al link linkss
Allaboutcircuits.com , a useful site introducing elec• Allaboutcircuits.com, tricity and electronics
Chapter 11
Integrated circuit “Silicon chip” redirects here. For the electronics magazine, see Silicon see Silicon Chip. Chip . “Mic “Micro roch chip ip”” redi redire rect ctss here here.. For For othe otherr uses uses,, see see Microchip (disambiguation). (disambiguation) . An integrated circuit or monolithic integrated cir-
Synthetic detail of an integrated circuit through four layers of planarized copper interconnect, down to the polysilicon (pink), wells (greyish), and substrate (green)
Erasable programmable read-only memory integrated circuits. These packages These packages have have a transparent window that shows the die the die inside. The window allows the memory to be erased by exposing the chip to ultraviolet to ultraviolet light .
Integrated circuit from an EPROM an EPROM memory memory microchip showing the memory blocks, the supporting circuitry and the fine silver wires which connect the integrated circuit die to the legs of the packaging. cuit (also referred to as an IC , a chip, or a microchip) is a set of electronic of electronic circuits on circuits on one small plate (“chip”)
of semiconductor of semiconductor material, material , normally silicon normally silicon.. This can be made much smaller than a discrete a discrete circuit made circuit made from independent electronic dependent electronic components. components . ICs can be made very compact, compact, having having up to several several billion billion transistors and other other electronic components in components in an area the size of a fingernail. Thewidthofeachconductinglineinacircuitcanbemade smaller smaller and smaller smaller as the technology advances; advances; in 2008 [1] it dropped below 100 nanometers 100 nanometers,, and now is tens of nanometers.[2] ICs wer weree made made possibl possiblee by experime experimental ntal discov discoverie eriess showing that semiconductor that semiconductor devices could devices could perform the functions functions of vac vacuum uum tube tubess and by mid-20th-c mid-20th-centur enturyy techtechnology advancements in semiconductor device fabrication.. The integration of large tion large numbers of tiny transistors tiny transistors into a small chip was an enormous improvement over the manual assembly assembly of circuits circuits using discrete electronic discrete electronic components.. The integrate components integratedd circuit’ circuit’ss mass prod producti uction on capability, reliability and building-block approach to circuit design ensured design ensured the rapid adoption of standardized integrated circuits in place of designs using discrete transistors. ICs have two main advantages over discrete circuits: circuits : cost and performan performance. ce. Cost is low because because the chips, chips, with with all their their compo compone nents nts,, are printed printed as a unit unit by photolithography rather photolithography rather than being constructed one transistor sistor at a time. Furthermo Furthermore, re, packaged packaged ICs use much
102
103
11.2. INVENTIO INVENTION N
less material than discrete circuits. Performance Performance is high because because the IC’s componen components ts switch switch quickl quicklyy and con consum sumee little power (compared to their discrete counterparts) as a result of the small size and close proximity of the components. As of 2012, typical chip areas range from a few square millimeters millimeters to around 450 mm 2 , with up to 9 million transistors lion transistors per per mm2 . Integrated circuits are used in virtually all electronic equipment today and have revolutionized the world of electronics.. Computers electronics Computers,, mobile phones, phones , and other digital home appliances are appliances are now inextricable parts of the structure of modern societies, made possible by the low cost of integrated circuits. circuits.
11.1 11.1
component. Components could could then be integrated and wired into a bidimensional or tridimensional compact grid. This idea, which seemed very promising in 1957, was proposed to the US Army by Jack Kilby and Kilby and led to the short-lived Micromodule Micromodule Program (similar to 1951’s Project Tinkertoy). Tinkertoy).[9] However, as the project was gaining momentum, Kilby came up with a new, revolutionary design: the IC.
Termi ermino nolo logy gy
An integrated circuit is is defined as: [3] A circuit in which all or some of the circuit elements are inseparably associated and electrically interconnected so that it is considered to be indivisible for the purposes of construction and commerce.
Jack Kilby's Kilby's original integrated circuit
Circuits Circuits meeting this definition can be constructed constructed using many different technologies, including thin-film transistor,, thick film technology, tor technology , or hybrid or hybrid integrated circuit. circuit . However, in general usage integrated circuit has come Newly employed by Texas Instruments, Instruments, Kilby recorded to refer to the single-piece circuit construction originally his initial ideas concerning the integrated circuit in July known as a monolithic integrated circuit .[4][5] 1958, successfully demonstrating the first working integrated example on 12 September 1958. [10] In his patent application of 6 February 1959, [11] Kilby described his 11.2 11.2 Inve Invent ntio ion n new device as “a body of semiconductor material … wherein all the components of the electronic circuit are Main article: Invention article: Invention of the integrated circuit completely integrated.” [12] The first customer for the new invention was the US the US Air Force. Force .[13] Early developments of the integrated circuit go back to Kilby won the 2000 Nobel Prize in Physics for his part in 1949, when German engineer Werner Jacobi ( Jacobi (Siemens Siemens the invention of the integrated circuit. [14] His work was AG))[6] filed a patent for an integrated-circuit-like semi- named an IEEE AG an IEEE Mileston Milestonee in 2009. [15] conductor conductor amplifying amplifying device device [7] showing showing five five transisto transistors rs on Half a year after Kilby, Robert Kilby, Robert Noyce at Noyce at Fairchild Fairchild Semia common substrate in a 3-stage amplifier amplifier arrangement. arrangement. conductor conductor developed developed his own idea of an integrated cirJacobi disclosed small and cheap hearing cheap hearing aids as aids as typical cuit that solved many practical problems Kilby’s had not. industrial applicatio applications ns of his patent. An immediate com- Noyce’s design was made of silicon of silicon,, whereas Kilby’s chip mercial mercial use of his patent has not been reported. was made of germanium of germanium.. Noyce credited Kurt credited Kurt Lehov Lehovec ec p–n junction isoThe idea of the integrated circuit was conceived by of Sprague of Sprague Electric for Electric for the principle of p–n Geoffrey W.A. Dummer (1909–2002), a radar scien- lation caused by the action of a biased p–n junction (the tist working for the Royal the Royal Radar Establishment of the diode) as a key concept behind the IC. [16] British Ministry British Ministry of Defence. Defence . Dummer presented the idea idea Fairc Fairchild hild Semicond Semiconductor uctor was also home of the first to the public at the Symposium on Progress in Quality silicon-gate IC technology with self-aligned gates, gates , the Electronic Components in Washington, in Washington, D.C. on D.C. on 7 May basis of all modern CMOS CMOS comp computer uter chips. chips. The techtech1952.[8] He gave many symposia publicly to propagate nology was developed by Italian physicist Federico Faghis ideas, and unsuccessfully attempted to build such a gin gin in in 1968, who later joined Intel in order to develop circuit in 1956. the very first single-chip single-chip Central Processing Unit (CPU) A precursor idea to the IC was to create small ceramic (Intel 4004), 4004), for which he received the National the National Medal of squares (wafers), each containing a single miniaturized Technology and Innovation in Innovation in 2010.
104
11.3 11.3
CHAPTER 11. INTEGRAT INTEGRATED ED CIRCUIT CIRCUIT
Gene Genera rati tion onss
chips, and the first microprocessors, that began to be manufactured manufactured in moderate quantities quantities in the early 1970s, had under under 4000 trans transist istors ors.. True True LSI circ circui uits, ts, approachi proaching ng 10,000 10,000 transisto transistors, rs, began began to be produced produced around 1974, for computer main memories and secondgeneration microprocessors.
In the early days of simple integrated circuits, the technology’s large scale limited each chip to only a few transisto sistors, rs, andthe and thelo low w degre degreee of integr integrati ation on meant meant the desi design gn process was relatively simple. Manufacturing yields were also quite low low by today’s standards. As the technology progressed, millions, then billions [17] of transistors could be placed on one chip, and good designs required thor- 11.3 11.3.2 .2 VLSI VLSI ough planning, giving rise to new design new design methods. methods. Main article: Very-large-scale article: Very-large-scale integration The final step in the development process, starting in 11.3.1 11.3.1 SSI, SSI, MSI MSI and and LSI
The first integrated circuits circuits contained only a few few transistors. Called “small-scale “small-scale integration” integration” (SSI), digital circircuits containing transistors numbering in the tens provided a few logic gates for example, while early linear ICs such as the Plessey the Plessey SL201 SL201 or the Philips the Philips TAA320 TAA320 had as few as two transistors. The term Large Scale Integration was first used by IBM IBM scientist scientist Rolf Rolf Landauer when when describi describing ng the theoreti theoretical cal conc concept, ept, from from there there came came the terms for SSI, MSI, VLSI, and ULSI. SSI circuits were crucial to early aerospace projects, and aerospace projects helped inspire development of the technol technology. ogy. Both the Minuteman missile and Apoll Apolloo program needed gram needed lightweight digital computers for their inertial guidance systems; the Apollo the Apollo guidance computer led computer led and motivated the integrated-circuit technology, [20] while the Minuteman missile forced it into mass-production. The Minuteman missile program and various other Navy programs accounted for the total $4 million integrated circuit market in 1962, and by 1968, U.S. Government space and defense spending still accounted for 37% of the $312 million million total production production.. The demand demand by the U.S. Governm Government ent supporte supportedd the nascent nascent integrate integratedd circuit circuit market until costs fell enough to allow firms to penetrate the industrial and eventually eventually the consumer consumer markets. The average price per integrated circuit dropped from $50.00 in 1962 to $2.33 in 1968. [21] Integrated circuits circuits began to appear in consumer products by the turn of the decade, a typical application being FM being FM inter-carrier inter-carrier sound processing in television receivers. The next step in the development of integrated circuits, taken in the late 1960s, introduced devices which contained hundreds of transistors on each chip, called “medium-scale “medium-scale integration” (MSI). They were attractive economically because while they cost little more to produce than SSI devices, they allowed more complex systems to be produced using smaller circuit boards, less assembly assembly work (because of fewer fewer separate components), and a number of other advantages. advantages. Further development, development, driven by the same economic economic facfactors, led to “large-scale integration” (LSI) in the mid1970s, with tens of thousands t housands of transistors per chip. Integrated Integrated circuits circuits such such as 1K-bit 1K-bit RAMs, calcul calculator ator
Upper interconnect layers on an Intel an Intel 80486 DX2 DX2 microprocessor die
the 1980s and continuing through the present, was “verylarge-scale large-scale integration” (VLSI VLSI).). The development started with hundreds of thousands of transistors in the early 1980s, and continues beyond several billion transistors as of 2009. Multiple developmen developments ts were required to achieve achieve this increased creased density. density. Manufactu Manufacturers rers moved moved to smalle smallerr design rules and cleaner fabrication facilities, so that they coul couldd make make chips chips with with more more transi transisto stors rs and mainta maintain in adeadequate yield. The path of process improvements was summarized by the International the International Technology Roadmap for Semiconductors (ITRS). Design (ITRS). Design tools improved tools improved enough to make it practical to finish these designs in a reasonable time. The more energy-efficient CMOS energy-efficient CMOS replaced replaced NMOS NMOS and PMOS and PMOS,, avoiding a prohibitive increase in power consumption. In 1986 the first one-megabit RAM RAM chips chips were introduced, containing more than one million transistors. transistors. Microprocessor croprocessor chips passed the million-transistor mark in 1989 and the billion-transistor mark in 2005. [22] The trend trend con continue tinuess largel largelyy unabated, unabated, withchi with chips ps introduc introduced ed in 2007 containi containing ng tensof tens of billion billionss of memory memory transisto transistors. rs.[23]
105
11.5. COMPUTER COMPUTER ASSISTED ASSISTED DESIGN DESIGN
11.3.3 11.3.3
ULSI, ULSI, WSI, WSI, SOC and 3D-IC 3D-IC
To reflect further growth of the complexity, the term ULSI that stands for “ultra-large-scale integration” was proposed for chips of more than 1 million transistors. [24] Wafer-scale Waf er-scale integration (WSI (WSI)) is a mean meanss of buil buildi ding ng very very large large integ integrat rated ed circ circui uits ts that that uses uses an entir entiree sili silico conn waf wafer to produce a single “super-chip”. Through a combination of large size and reduced packaging, WSI could lead to dramatically reduced costs for some systems, notably massively sively parallel supercomputers. supercomputers. The name is taken from from the term Very-Large-Scale Integration, the current state of the art when WSI was being developed. [25] A system-on-a-chip (SoC system-on-a-chip (SoC or SOC) is an integrated circuit in which all the components needed for a computer or other system are included on a single chip. The design of such a device can be complex and costly, and building disparate components on a single piece of silicon may compromise compromise the efficienc efficiencyy of some elements. However, However, these drawbacks are offset by lower manufacturing and assembly costs and by a greatly reduced power budget: because signals among the components are kept on-die, much less power is required (see Packaging (see Packaging).).[26] A three-dimensiona three-dimensionall integrated circuit (3D-IC) circuit (3D-IC) has two or more layers of active electronic components that are integrated both vertically and horizontally into a single circuit. Communication between layers uses on-die signaling, so power consumption is much lower than in equivalent equivalent separate circuits. circuits. Judicious use of short vertical wires can substantially substantially reduce overall wire length for faster operation.[27]
11.4 11 .4
Advance ancess in inte integr grat ated ed circircuits
microprocessors or "cores", which control everything from computers and cellular phones to digital microwave ovens.. Digital memory ovens Digital memory chips and chips and application-specific application-specific integrated circui circuits ts (ASICs) (ASICs) are examples examples of other families families of integrated integrated circuits circuits that are important important to the modern information society. society. While the cost of designing of designing and and developing a complex integrated circuit is quite high, when spread across typically millions of production units the individual IC cost is minimized. The performance of ICs is high because the small size allows short traces which in turn allows low power low power logic logic (such as CMOS as CMOS)) to be used at fast switching speeds. ICs have consistently migrated to smaller feature sizes over the years, allowing more circuitry to be packed on eachh chip. This increa eac increased sed capacity capacity per unit unit area can be used to decrease cost or increase functionality—see Moore’s law which, law which, in its modern interpretation, states that the number of transistors in an integrated circuit doubles doubles every two years. years. In general, as the feature feature size shrinks, almost everything improves—the cost per unit and the switching power consumption go down, and the speed goes up. However, ICs with nanometer nanometer-scale -scale devices are not without their problems, principal among which is leakage current (see subthreshold leakage for a discussion of this), although innovations in high-κ dielectrics aim electrics aim to solve these problems. Since these speed and power consumption gains are apparent to the end user, there is fierce competition among the manufacturers to use finer geometri geometries. es. This proces process, s, and the expected progress over the next few years, is described by the International the International Technology Roadmap for Semiconductors (ITRS). tors (ITRS). In current research projects, integrated circuits are also developed for sensoric for sensoric applications applications in medical in medical implants or other bioelectronic other bioelectronic devices. devices. Particular sealing strategies have to be taken in such biogenic environments to avoid corrosion avoid corrosion or or biodegradation biodegradation of of the exposed semi[28] conductor materials. As one of the few materials well established in CMOS in CMOS technology, technology, titanium titanium nitride (TiN) nitride (TiN) turned out as exceptionally exceptionally stable and well suited suited for elec[29][30] trode applications in medical in medical implants. implants .
11.5
Comput Computer er assist assisted ed desi design gn
Main articles articles:: Elec Electroni tronicc des design ign auto automati mation on and Hardware description language
11.6 11.6
Class Classifi ifica cati tion on
The die die from froman an Intel 8742 Intel 8742 , an 8-bit microcontroller 8-bit microcontroller that that includes includes analog,, digital a CPU running running at 12 MHz, 128 bytes of RAM RAM , 2048 bytes of Integrated circuits can be classified into analog and mixed and mixed signal (both signal (both analog and digital on the same EPROM , and I/O I/O in in the same chip
chip). Among the most advanced integrated circuits are the Digital integrated circuits can contain anywhere from
106
CHAPTER 11. INTEGRAT INTEGRATED ED CIRCUIT CIRCUIT
A CMOS 4511 IC 4511 IC in a DIP a DIP
one to millions of logic gates, gates, flip-flops flip-flops,, multiplexers multiplexers,, and other other circ circui uits ts in a few square square millime millimeter ters. s. The The small size of these circuits allows high speed, low power dissipation, and reduced manufacturing cost compared cost compared with board-level board-level integration. These digital ICs, typically microprocessors,, DSPs microprocessors DSPs,, and microcontrollers and microcontrollers,, work using binary mathematics to process “one” and “zero” signals. Analog ICs, such as sensors, power sensors, power management circuits, circuits , and operational and operational amplifiers, amplifiers , work by processing continuous signals. They perform perform functions functions like amplification like amplification,, activee filtering activ filtering,, demodulation demodulation,, and mixing and mixing.. Analo Analogg ICs ICs ease the burden on circuit designers by having expertly designed analog circuits available instead of designing a difficult analog circuit from scratch. ICs can also combine analog and digital circuits on a single chip to create functions such as A/D as A/D converters and converters and D/A conv converters erters.. Such mixed-signal circuits offer smaller size and lower cost, but must carefully account for signal interference. Modern electronic Modern electronic component distributors often distributors often further sub-categorize the huge variety of integrated circuits now available:
Rendering of a small standard small standard cell cell with three metal layers (dielectric has has been removed). removed). The sand-colored structures are are metal interconnect, with the vertical pillars being contacts, typically plugs of of tungsten. The reddish structures are polysilicon polysilicon gates, and the solid at the bottom is the crystalline the crystalline silicon bulk. silicon bulk. Legend:
" g n i g / a k d c n a e - P k d c e a c b n a v d A "
lead-free solder bump
Cr, Cu and Au liners
seal layer (nitride or (nitride or oxide) PSG
SiN seal layer
Cu 5
Cu 5
Cu 4 Cu 4
• Digital ICs are further sub-categorized as logic
ICs, memory chips, interface ICs (level ( level shifters, shifters , serializer/deserializer , etc. etc.), ), Power Power Manageme Management nt ICs,, and programmable devices. ICs
• Analog ICs are further sub-categorized as linear ICs and RF ICs.
• mixed-signal mixed-signal integrated
circuits are further subcircuits categorized as data acquisition ICs (including A/D converters, D/A converter, digital converter, digital potentiometers) potentiometers) and clock/timing ICs.
11.7
Manuf Manufact acturi uring ng
11.7.1 11.7.1
Fabric Fabricati ation on
Main article: Semiconductor article: Semiconductor fabrication The semiconductors of the the peri periodic odic tabl tablee of the the chemical
Silicon (Si) n- Si p- Si Polysilicon(Poly-Si) Undoped silicon glass (USG, SiO 2) Silicon dioxide (TEOS oxide, SiO 2) Cobalt disilicide (CoSi 2) Spin-on dielectric (SOD) Phosphor-silicate icate glass (PSG) Tungsten (W) Copper (Cu) Silicon nitride (SiN) Silicon nitride (SiN) Silicon carbide (SiC)
L O E B
SOD
Cu 4
Cu 4
Ta/TaN barrier layer layer
SiC etch stop layer
Cu 3
SiC etch stop layer
Cu 2
Cu 2
Cu 2
SOD
d n e t n o r L f O E F
PE-TEOS
SOD Cu1
SOD
SiC seal layer
SiN barrier layer
poly-Si gate PSG
USG
tungsten n- Si
n-Si p-well
CoSi2
p-Si
p- S i USG
n-well
STI
spacer
buried SiO2 p-silicon wafer
Schematic structure of a CMOS chip, as built in the early 2000s. The graphic shows LDD-MISFET’s on an SOI substrate with five metallization layers layers and solder bump for for flip-chip bonding. It also also shows shows the sectio sectionn for FEOL or FEOL (front (front-end -end of line), line), BEOL (backend of line) and first parts of back-end process.
107
11.7. MANUFACTU MANUFACTURING RING
elements were elements were identified as the most likely materials for a solid-state vacuum tube . Starting with copper with copper oxide, oxide, proceeding to germanium to germanium,, then silicon then silicon,, the materials were systematicall systematicallyy studied in the 1940s and 1950s. Today, monocrystalline silicon is silicon is the main substrate main substrate used used for ICs although some III-V compounds of the periodic table such as gallium as gallium arsenide are arsenide are used for specialized applications like LEDs like LEDs,, lasers lasers,, solar cells and cells and the highest-speed integrated circuits. circuits. It took decades decades to perfect perfect methods of creating crystals creating crystals without without defects in the crystalline the crystalline structure of ture of the semiconducting semiconducting material. Semiconductor ICsare ICs areffabric abricate atedd in a laye layerr proce process ss which which includes three key process steps – imaging, deposition and etching. The main process steps steps are supplemented supplemented by doping and cleaning. Mono-crystal silicon wafers (or wafers (or for special applications, silico sil iconn on sapp sapphire hire or galli gallium um arseni arsenide de waf wafers) ers) areuse are usedd as the substrate. Photolithography is Photolithography is used to mark different areas of the substrate to be doped be doped or or to have polysilicon, insula insulators tors or metal metal (typicall (typicallyy aluminiu aluminium) m) tracks tracks deposi deposited ted on them.
• Integrated circuits are composed of many overlap-
ping layers, each defined by photolithography, and norma normallllyy show shownn in differe different nt colo colors. rs. Some Some laylayers mark where various dopants are diffused into the substrate (called diffusion layers), some define where additional ions are implanted (implant layers), some define the conductors (polysilicon or metal layers), and some define the connections between the conducting layers (via or contact layers). All componen components ts are con construc structed ted from from a specifi specificc combination of these layers.
• In a self-aligned CMOS process, a transistor
is formed formed wherev wherever er the gate layer layer (polysi (polysilic licon on or metal) crosses a diffusion layer.
structures, in form very much like the • Capacitive structures,
parallel conducting plates of a traditional electrical capacitor, are formed according to the area of the “plates”, “plates”, with insulati insulating ng material material between between the plates. plates. Capacitors of a wide range of sizes are common on ICs.
• Meandering stripes of varying lengths are some-
times used to form on-chip resistors resistors,, though most logic circuits circuits do not need any any resistors. resistors. The ratio of the length of the resistive structure to its width, combined with its sheet resistivity, determines the resistance.
• More rarely, inductive rarely, inductive structures can structures can be built as tiny on-chip coils, or simulated by by gyrators gyrators..
Since Since a CMOS CMOS devic devicee only only draws draws curre current nt on the transition between logic between logic states, states, CMOS devices consume much less current than bipolar than bipolar devices. devices.
A rand random om acc access ess mem memory ory isthemostregulartypeofintegrated circuit; the highest density devices are thus memories; but even a microprocessor microprocessor will will have memory on the chip. (See the regular array structure at the bottom of the first image.) Although the structures are intricate – with widths which have been shrinking for decades – the layers remain much thinner than the device widths. The layers of material are fabricated fabricated much like a photographic process, although light waves light waves in in the visible the visible spectrum cannot trum cannot be used to “expose” a layer of material, as they would would be too large for the features. features. Thus photons Thus photons of higher frequencies (typically ultraviolet ultraviolet)) are used to create the patterns for each layer. Because each feature is so small, electron small, electron microscopes are microscopes are essential essential tools for a process engineer process engineer who might be debugging be debugging a a fabrication process. Each device is tested before packaging using automated test equipment equipment (ATE), in a process known as wafer as wafer testing,, or wafer probing. The wafer ing wafer is then cut into rectangular blocks, each of which is called a die . Each Each good good die (plural die (plural dice, dies, or die) is then connected into a package using aluminium (or gold) bond gold) bond wires which wires which are [31] thermosonically bonded to pads, usually found around the edg edgee of the the die. die. . Thermosonic bonding was bonding was first introduced by A. Coucoulas which provided a reliable means of forming these vital electrical connections to the outside outside wor world. ld. After After packagi packaging, ng, the device devicess go through through final testing on the same or similar ATE used during wafer probing. Industrial CT scanning can scanning can also be used. used. Test Test cost can account for over 25% of the cost of fabrication on lower-cost products, but can be negligible on lowyielding, larger, or higher-cost devices. As of 2005, a fabrication facility (commonly known semiconductor fab) costs over US$1 billion to as a semiconductor construct. [32] The cost of a fabrication facility rises over time (Rock’s (Rock’s law) law) because much of the operation is automated. Today, the most advanced processes employ the following techniques:
• The wafers are up to 300 mm in diameter (wider than a common dinner plate).
• Use of 32 nanometer or smaller chip manufacturing
process. Intel process. Intel,, IBM IBM,, NEC NEC,, and AMD and AMD are are using ~32 nanometers for their CPU their CPU chips chips.. IBM and and AMD introduced immersion introduced immersion lithography for lithography for their 45 nm processes [33]
• Copper interconnects where interconnects where copper wiring replaces aluminium for interconnects.
• Low-K Low-K dielectric dielectric insulators. insulator (SOI) • Silicon on insulator (SOI) silicon in a process used by IBM by IBM known known as • Strained silicon in strained silicon directly on insulator (SSDOI) insulator (SSDOI)
108
CHAPTER 11. INTEGRAT INTEGRATED ED CIRCUIT CIRCUIT
devices such as tri-gate transistors being • Multigate devices such
confined to the die periphery. manufactured manufactured by Intel from2011intheir22nmpro- Traces out of the die, through the package, and into the cess. printed circuit board have board have very different electrical properties, compared to on-chip signals. They require special design techniques and need much more electric power 11.7.2 11.7.2 Pack Packagi aging ng than signals confined to the chip itself. Main article: Integrated article: Integrated circuit packaging When multiple dies are put in one package, it is called The earlies earliestt integrate integratedd circui circuits ts wer weree packag packaged ed in ceramic ceramic SiP, for System In Packag Packagee. When multiple dies are combined on a small substrate, often ceramic, it’s called an MCM, or Multi-Chip or Multi-Chip Module. Module . The distinction between a big big MCMand MCM and a small smallpri printe ntedd circu circuitit board board is someti sometime mess fuzzy. 11.7 11.7.3 .3
A Soviet MSI nMOS chip made in 1977, part of a four-chip calculator set designed in 1970 [34]
flat packs, which continued to be used by the military for their reliability reliability and small size for many years. Commercial circuit packaging quickly moved to the dual in-line package (DIP), package (DIP), first in ceramic and later in plastic. In the 1980s pin counts of VLSI circuits exceeded the practical limit for DIP packaging, leading to pin to pin grid array (PGA) array (PGA) and leadless and leadless chip carrier (LCC) carrier (LCC) packages. Surface packages. Surface mount packaging packaging appeared in the early 1980s and became popular in the late 1980s, using finer lead pitch with leads formed as either gull-wing or J-lead, as exemplified by small-outline integrated circuit – a carrier which occupies an area about 30–50% less than an equivalent DIP DIP,, with a typical thickness thickness that is 70% less. This package package has “gull wing” leads protruding from from the two t wo long sides and a lead spacing of 0.050 inches. inches. In the late 1990s, plastic 1990s, plastic quad flat pack (PQFP) pack (PQFP) and thin and thin small-outlinee packag small-outlin packagee (TSOP) (TSOP) packa package gess became becamethe themos mostt common for high pin count devices, though PGA packages are still often used for high-end microprocessors. microprocessors. Intel and AMD are currently transitioning from PGA packages on high-end microprocessors to land grid array (LGA) packages. packages. Ball grid array (BGA) array (BGA) packages have existed since the 1970s. Flip-chip Ball Grid Array packages, which allow for much higher pin count than other package types, were developed developed in the 1990s. In an FCBGA package package the die is mounted upside-down (flipped) and connects to the package balls via a package substrate that is similar to a printed-c printed-circ ircuit uit board rather rather than by wires. wires. FCBGA FCBGA packpackages allow an array of input-output signals (called AreaI/O) I/O) to be distri distribut buted ed over over the entire entire die die rathe ratherr than than being being
Chip Chip labe labeli ling ng and and manu manuffactu acture re date
Most integrated circuits large enough to include identifying information include four common sections: the manufacturer’s name or logo, the part number, a part production batch number and serial number, and a four-digit code that identifies when the chip was manufactured. Extremely small surface small surface mount technology parts technology parts often bear only a number used in a manufacturer’s lookup table to find the chip characteristics. The manufacturing date is commonly represented as a two-digit year followed by a two-digit week code, such that a part bearing the code 8341 was manufactured in week 41 of 1983, or approximately approximately in October 1983.
11.8
Intell Intellect ectual ual proper property ty
Main article: Integrated article: Integrated circuit layout design protection The possibility of copying by photographing each layer of an integrated circuit circuit and preparing photomasks preparing photomasks for for its produ producti ction on on the basis basis of the photo photogra graph phss obtain obtained ed is the main main reaso reasonn for the introd introduc uctio tionn of legis legislat latio ionn for the proprotection of layout-designs.The Semiconductor Chip Protection Act (SCPA) of 1984 established a new type of intellectual property protection for mask works that are fixed in semiconductor semiconductor chips. It did so by amending title 17 of the United States chapter chapter 9 [35] A diplomatic diplomatic conference conference was held at Washington, Washington, D.C., in 1989, which adopted a Treaty on Intellectual Property in Respect of Integrated Circui Circuits ts (IPIC (IPIC Treaty). The Treaty on Intellectual Property in respect of Integrated Circuits, also called Washington Treaty or IPIC Treaty (signed at Washington on 26 May 1989) is currently not in force, but was partially integrated into the TRIPS agreement. TRIPS agreement. National laws protecting IC layout designs have been adopted in a number of countries.
109
11.10. SILICON LABELLING AND AND GRAFFITI GRAFFITI
11.9
Other Other deve develo lopm pment entss
In the 1980s, programmable logic devices were developed. These devices devices contain circuits circuits whose logical function and connectivity can be programmed by the user, rather than being fixed by the integrated circuit manufacturer. acturer. This allows allows a single chip chip to be programmed programmed to implement different LSI-type functions such as logic gates,, adders gates adders and and registers registers.. Current devices called fieldcalled fieldprogrammable gate arrays can now implement tens of thousands of LSI circuits in parallel and operate up to 1.5 GHz. The techniq techniques ues perfecte perfectedd by the integrate integratedd circui circuits ts indusindustry over the last three decades have been used to create very small mechanical devices driven by electricity using a technology known as microelectromechanical as microelectromechanical systems.. These tems These devices devices are used in a variety variety of commercommercial and military applications. Example commercial applications plications include include DLP projectors, projectors, inkjet printers, printers, and accelerometers and MEMS gyros gyroscope copess usedtodeployautomobile airbags tomobile airbags.. As of 2014, the vast majority majority of all transistors t ransistors are fabrifabricated in a single layer on one side of a chip of silicon in a flat 2-dimensional planar 2-dimensional planar process. process . Researchers Researchers have produced prototypes of several several promising alternatives, such as:
• fabricating transistors over the entire surface of a small sphere of silicon. [36][37]
• various approaches to stacking several layers of
transistors to make a t a three-dime hree-dimensional nsional integrated circuit,, such as through-silicon circuit through-silicon via via,, “monoli “monolithic thic [38] [39] 3D”, stacked wire bonding, etc.
• transistors built from other materials: graphene
transistors, molybdenite transistors, molybdenite transistors transistors,, carbon nanotube field-effect transistor, transistor , gallium nitride transistor, transistor-like nanowire electronic devices, devices , organic field-effect transistor, transistor , etc.
• modifications to the substrate, typically to make
"flexible transistors" transistors" for a flexible a flexible display or display or other flexible electronics, electronics, possibly leading to a roll-away a roll-away computer.. computer
bears 80 cores. Each core is capable of handling its own task independently of the others. This is in response to the heat-versus-speed the heat-versus-speed limit that is about to be reached using existing using existing transistor tr ansistor technology technology (see: (see: thermal thermal design power). power ). This This desi design gn provid provides es a new new chall challeng engee to chip chip proprogramming. Paralle Parallell programming languages such languages such as the open-source X10 open-source X10 programming programming language are designed designed to [40] assist with this task. Since the early 2000s, the integration of optical functionality (optical (optical computing) computing) into silicon chips has been actively pursued in both academic research and in industry resulting in the successful commercialization of silicon based integrated optical transceivers combining optical devices (modulators, (modulators, detectors, routing) with CMOS based electronics. [41]
11.10 11.10
Silic Silicon on label labellin ling g and graffiti graffiti
To allow identification during production most silicon chips will have a serial number in one corner. It is also common to add the manufacturer’s logo. Ever since ICs were created, some chip designers have used the silicon surface area for surreptitious, non-functional images or words. These are sometimes referred referred to as chip art, art, silicon art, silicon silicon graffiti or silicon doodling.
11.11 11.11
ICs and IC famili amilies es
The 555 timer IC • The 555 The 741 operational amplifier • The 741 • 7400 series TTL logic TTL logic building blocks • 4000 series, series , the CMOS counterpart to the 7400 series (see also: 74HC00 also: 74HC00 series) series )
• Intel 4004, 4004, the world’s first microprocessor first microprocessor,, which
led to the famous 8080 famous 8080 CPU CPU and then the IBM the IBM PC's PC's 8088,, 80286 8088 80286,, 486 486 etc. etc.
The MOS Technology 6502 and 6502 and Zilog Zilog Z80 microZ80 micro• The MOS processors, used in many home computers of computers of the early 1980s
In the past, radios could not be fabricated in the same Motorola 6800 series series of computer-r computer-rela elated ted • The Motorola low-cost processes as microprocessors. But since 1998, chips, leading to the 68000 the 68000 and and 88000 88000 series series (used a large number of radio chips have been developed using in some Apple some Apple computers and computers and in the 1980s ComCMOS processes. Examples include include Intel’s DECT cordmodore Amiga modore Amiga series). series). less phone, or Atheros or Atheros's's 802.11 card. The LM-series of of analog integrated circuits. • The LM-series Future Future develo developme pments nts seem to follo follow w the multi-core multimicroprocessor paradigm, already used by the Intel and AMD dual dual-co -core re proce processo ssors. rs. Rappor Rapportt Inc. Inc. and IBM IBM 11.12 2 See See also also started shipping the KC256 the KC256 in in 2006, a 256-core micro- 11.1 processor. Intel, as recently recently as February–August February–August 2011, unveiled a prototype, “not for commercial sale” chip that generation • Automatic test pattern generation
110
• BCDMOS • Bipolar junction junction transistor • Cleanroom engineering • Computer engineering • Current mirror • Datasheet Archive Depletion-load on-load NMOS logic • Depleti • Electrical engineering • Field-programmable gate array • Gate array • Hardware description language • Integrated circuit development • Integrated circuit circuit vacuum tube • integrated injection logic • Ion implantation • Joint Test Action Group • LDMOS • Linear feedback shift register • Logic family • Memristor • Monolithic microwave integrated circuit • MOSFET • Multi-threshold CMOS • Photonic integrated circuit • Silicon-germanium • Silicon photonics • Simulation • Sound chip • SPICE • Zero insertion force
CHAPTER 11. INTEGRAT INTEGRATED ED CIRCUIT CIRCUIT
11.13 11.13
Refer Referenc ences es
[1] “Intel “Intelto to Inv Invest estMor Moree tha thann $5 Bil Billilion on to Bui Build ld New Fa Facto ctory ry in Arizona”. Arizona”. Retrieved 3 February 2013. [2] “Intel® 22nm Technology”. Technology”. Retrieved 26 July 2014. [3] “Integrated circuit (IC)". (IC)". JEDEC JEDEC.. [4] Andrew Wylie (2009). “The first monolithic integrated circuits”.. Retrieve circuits” Retrievedd 14 March March 2011. Nowaday Nowadayss when when people say 'integrated circuit' they usually mean a monolithic IC, where the entire circuit is constructed in a single piece of silicon. [5] Horowitz, Paul; Paul; Hill, Winfield (1989). Winfield (1989). The Art of Electronics (2nd ed.). ed.). Cambrid Cambridge ge Univ Universi ersity ty Press. Press. p. 61. ISBN 0-521-37095-7. 0-521-37095-7. Integrated Integrated circui circuits, ts, which hav havee largely largely replaced circuits constructed from discrete transistors, are themselves themselves merely arrays of transistors and other o ther compon com ponent entss built built from from a singl singlee chip chip of semic semicond onduct uctor or material. [6] “Integra “Integrated ted circ circuits uits hel helpp Inv Inventio ention” n”.. cuithelp.com. cuithelp.com. Retrieved 2012-08-13.
Inte Integr grat ated edci cirr-
[7] DE 833366 833366 W. Jacobi/SIEMENS AG: „Halbleiterverstärker“ priority filing on 14 April 1949, published on 15 May 1952. [8] “Th “Thee Ha Hapl ples esss Ta Tale le of Ge Geoff offre reyy Du Dumm mmer er””, (n.d (n.d.) .),, (HTML), Electronic Product News, accessed 8 July 2008. [9] George George Rostky Rostky,, (n. d.), d.), “Micro “Micromodul modules: es: the ultimate ultimate package”,, (HTML), EE Times, accessed 8 July 2008. package” [10] The Chip that Jack Built , (c. 2008), (HTML), Texas Instruments, Retrieved 29 May 2008. [11] Jack S. Kilby, Miniaturized Electronic Circuits, United States Patent Office, US Patent 3,138,743, filed 6 February 1959, issued 23 June 1964. [12] Winston, Brian (1998). Media Technology and Society: A History : From the Telegraph to the Internet . Routledge. p. 221. ISBN 221. ISBN 978-0-415-14230-4. 978-0-415-14230-4 . [13] “Texas Instruments – 1961 First IC-based computer”. computer” . Ti.com. Retrieved 2012-08-13. [14] Nobel Web Web AB, (10 October October 2000),(The Nobel Prize in Physics 2000, Retrieved 29 May 2008 [15] "Milestones:First Semiconductor Integrated Circuit (IC), 1958”.. IEEE Global History Network . IEEE. Retrieved 3 1958” August 2011. [16] Kurt Lehovec’s patent patent on the isolation isolation p–n junction: junction: U.S. U.S. Patent 3,029,366 granted 3,029,366 granted on 10 April 1962, filed 22 April 1959. Robert Noyce Noyce credits credits Lehove Lehovecc in his article article – “Microelectronics”, Scientific Scientific American , September 1977, Volume 23, Number 3, pp. 63–9. [17] Peter Clarke, Intel enters billion-transistor processor era , EE Times, 14 October 2005 [18] http://www.iutbayonne.univ-pau.fr/~{}dalmau/ documents/cours/archi/MICROPancien.pdf
111
11.14. FURTHER FURTHER READING
[19] Bulletin de la Societe fribourgeoise fribourgeoise des sciences naturelles, [36] “Spherical semiconductor semiconductor radio temperature sensor”. sensor”. NaVolumes 62 à 63 (in French). 1973. tureInterface. 2002. TAKEDA. “MEMS applications of Ball Semi[20] Mindell, David A. (2008). Digital Digital Apollo: Apollo: Human and and [37] NOBUO TAKEDA. “MEMS conductor Technology”. Technology”. Machine Mac hine in Spaceflight Spaceflight . The The MIT Press. Press. ISBN ISBN 978-0978-0-26226213497-2.. 13497-2 [38] Zvi Or-Bach. “Why SOI is the Future Technology of Semiconductors”.. 2013. Semiconductors” [21] Ginzberg, Eli (1976). Economic impact of large public programs: the NASA NASA Experience. Olympus Olympus Publishi Publishing ng [39] “Sams “Samsung’s ung’sEigh Eight-Sta t-Stack ck Flas Flashh Sho Shows ws up in Appl Apple’s e’s iPhon iPhonee Company. p. 57. ISBN 57. ISBN 0-913420-68-9. 0-913420-68-9. 4”.. 2010. 4” [22] Peter Clarke, Clarke, EE Times: Intel enters billion-transistor billion-transistor pro[40] Biever, C. “Chip revolution revolution poses problems problems for programcessor era, 14 November 2005 mers”, New Scientist (Vol 193, Number 2594) [23] Antone Gonsalves, EE Times, “Samsung begins produc[41] A. Narasimha et al. (2008). “A 40-Gb/s QSFP optoelection of 16-Gb flash”, 30 April 2007 tronicc transc troni transceiv eiver er in a 0.13 µm CMOS sil silicon icon-on-i -on-insul nsulator ator technology”. technology” . Proceedings of the Optical Fiber Communi[24] Meindl, J.D. “Ultra-large scale integration”. integration”. ieee.org. cation Conference (OFC) : OMK7. IEEE. Retrieved 21 September 2014. [25] Shanefield, Daniel. “Wafer scale integration”. integration”. google. com/patents. Retrieved 21 September 2014. [26] Klaas, Jeff. “System-on-a-chip” Jeff. “System-on-a-chip”.. google.com/patents. Retrieved 21 September 2014. [27] Topol, A.W.; Tulipe, D.C.La; Shi, L; et., al. “Threedimensional integrated circuits”. circuits”. ieee.org. Internati International onal Business Business Machines Machines Corporati Corporation on (IBM). (IBM). Retrieve Retrievedd 21 September 2014. [28] [28] A.H.D. A.H.D. Graham Graham,, J. Robbin Robbins, s, C.R. C.R. Bowen Bowen,, J. Taylo Taylorr (2011). “Commercialisation “Commercialisation of CMOS Integrated Circuit Technology in Multi-Electrode Arrays for Neuroscience and Cell-Based Biosensors”. Sensors 11: 4943–4 4943–4971 971.. doi::10.3390/s110504943 doi 10.3390/s110504943.. [29] [29] H. H. Hämmer Hämmerle, le, K. Kobuch Kobuch,, K. Kohle Kohler, r, W. Nisch Nisch,, H. Sachs, Sachs, M. Stelzle (2002). “Biostability of micro-photodiode arrays for subretinal implantation”. Biomat. 23: 797–804. doi::10.1016/S0142-9612(01)00185-5 doi 10.1016/S0142-9612(01)00185-5.. [30] M. Birkholz, K.-E. Ehwald, D. Wolansky, I. Costina, C. Baristyran-Kaynak, M. Fröhlich, H. Beyer, A. Kapp, F. Lisdat (2010). “Corrosion-resis “Corrosion-resistant tant metal layers from a CMOS process for bioelectronic applications” (PDF). applications” (PDF). Sur Surf. Coat Coat.. Techn echnol. ol. 204 (12–13): (12–13): 2055–2059. 2055–2059. doi::10.1016/j.surfcoat.2009.09.075 doi 10.1016/j.surfcoat.2009.09.075.. [31] Coucoulas, A., http://commons.wikimedia.org/wiki/File: A., http://commons.wikimedia.org/wiki/File: Hot_Work_Ultrasonic_(Thermosonic)_Bonding_ 549-5 54 9-556 56.p .pdf df “H “Hot ot Wor orkk Ul Ultra traso soni nicc Bo Bond ndin ingg – A Method Met hod Of Fa Facil cilita itatin tingg Met Metal al Flo Flow w By Res Restor torati ation on Processes”,, Proc. Processes” Proc. 20th 20th IEEE Electro Electronic nic Compo Componen nents ts Conf. Washington, D.C., May 1970, pp. 549–556.https: 549–556. https: //sites.google.com/site/hotworkultrasonicbonding/ [32] [32] For examp example, le, Intel Intel Fab 28 cost cost $3.5 $3.5 billi billion, on, while while its neighboring Fab 18 cost $1.5 billion http://www. theinquirer.net/default.aspx?article=29958
11.14 11.14
Furth Further er read readin ing g
• The first monolithic integrated circuits • Baker, R. J. (2010). CMOS: Circuit Design, Layout, and Simulation, Third Edition . Wiley-IEEE. ISBN Wiley-IEEE. ISBN
978-0-470-88132-3.. http://cmosedu.com/ 978-0-470-88132-3
• Hodges, Hodges,
David; David; Jackson, Jackson, Horace; Horace; Saleh, Saleh, Resve Resve (2003). Analysis and Design of Digital Integrated Circuits. McGraw-Hill Science/Engineering/Math. Science/Engineering/Math. ISBN 978-0-07-228365-5. 978-0-07-228365-5 .
Rabaey ey,, • Raba
J. M.; Chandr Chandrak akasa asan, n, A.; Nikoli Nikolic, c, B. (2003). Digital Integrated Circuits (2nd ed.). ISBN 0-13-090996-3.. 0-13-090996-3
• Mead, Carver; Conway, Lynn (1980). Introduction to VLSI systems. Addison Wesley Wesley Publishing Publishing Com-
pany. ISBN pany. ISBN 978-0-201-04358-7. 978-0-201-04358-7 .
CMOS ICs, Veendrick, H. J. M. (2008). Nanometer CMOS • Veendrick,
Spring ngeer. p. 770. 770. ISBN from from Basics to ASICs ASICs. Spri 978-1-4020-8332-7. http://springer.com/cn/book/ 978-1-4020-8332-7. 9781402083327?referer=springer.com
• Arjun N. Saxena (2009). Invention of Integrated Circuits: Untold Important Facts . World Scientific.
ISBN 978-981-281-446-3. 978-981-281-446-3 . Veendrick, ck, • Veendri
H.J.M. H.J.M. (2011). (2011). Bits Bits on Chips Chips. p. 253. ISBN 253. ISBN 978-1-61627-947-9. 978-1-61627-947-9 .https://openlibrary. org/works/OL15759799W/Bits_on_Chips/
11.15 11.15
Exte Extern rnal al link linkss
[33] “Brea “Breakin kingg New News-I s-IBM, BM, AMD Exp Expect ect 4545-Nan Nanome ometer ter Chips in Mid-2008”. Mid-2008”. Itjun Itjungl gle.c e.com. om. 2006-1 2006-12-1 2-12. 2. Re- General trieved 2013-09-08. [34] “145 series ICs (in Russian)" Russian)".. Retrieved 22 April 2012. [35] [35]
//cop //copyr yrig ight ht.g .gov/ ov/ci circ rcs/ s/ci circ1 rc100 00.p .pdf df
• Krazit, Tom Tom "– "– AMD’s new 65-nanometer chips sip
ener en ergy gy but tra trailil Int Intel el,” ,” C-net , 2006-12-21. Retrieved Retrieved on 8 January 2007
112
•
CHAPTER 11. INTEGRAT INTEGRATED ED CIRCUIT CIRCUIT
a large chart listing ICs by generic number number including including access to most of the datasheets for for the parts.
• Stephen P. Marsh (2006). Practical MMIC design . Artech House. ISBN House. ISBN 978-1-59693-036-0. 978-1-59693-036-0 .
Author S.P. Marsh
• Introduction to Circuit Boards and Integrated and Integrated Circuits 6/21/2011 cuits 6/21/2011
Patents
US3,138,743 – – Miniaturized electronic circuit – J. – J. • US3,138,743 S. Kilby
Integratedd semicon semiconduc ductor tor circui circuitt de• US3,138,747 – Integrate vice – R. F. Stewart
• US3,261,081 – Method of making miniaturized electronic circuits – J. S. Kilby
• US3,434,015 – Capacitor for miniaturized electronic circuits or the like – J. S. Kilby
Silicon graffiti
• The Chipworks silicon art gallery Integrated circuit die manufacturing
• IC Die Photography – A gallery of IC die photographs
• Zeptobars – Yet another gallery of IC die photographs
on YouTube – – A • Silicon Chip Wafer Fab Mailbag on YouTube look look at some some equi equipm pmen entt and and waf wafers ers used used in the the manmanufacturing of silicon chip wafers
Chapter 12
Breadboard This article article is about about electroni electronics. cs. For other other uses, see Breadboard (disambiguation). (disambiguation) . A breadboard is a construction base for prototyping for prototyping of of
This 1920s TRF 1920s TRF radio radio manufactured by Signal was constructed on a wooden breadboard. Solderless breadboard with 400 connection points
electronics.. Origin electronics Originall allyy it was was liter literall allyy a breadboard breadboard,, a polpolished piece piece of wood used for slicing slicing bread. In the 1970s the solderless breadboard (AKA plugboard, a terminal array board) became available and nowadays the term “bread “breadboa board” rd” is commo commonl nlyy used used to ref refer to these. these. “Brea “Breaddboard” is also a synonym for ""prototype prototype". ". Because Because the solderl solderless ess breadboa breadboard rd does not requir requiree soldering,, it is reusable. This makes it easy to use for cresoldering ating temporary prototypes and experimenting with circuit design. design. For this reason, solderless solderless breadboards are also extremely popular with students and in technological education. Older breadboard types did not have this property. A stripboard A stripboard (veroboard) (veroboard) and similar prototyping printed ing printed circuit boards, boards , which are used to build semipermanent soldered prototypes or one-offs, cannot easily be reused. A variety of electronic systems may be prototyped typed by using using breadb breadboar oards ds,, from rom small smallana analo logg anddi and digit gital al circuits to complete central complete central processing units (CPUs). units (CPUs).
was was first first glue gluedd to the the boar boardd as a guid guidee to plac placin ingg term termin inal als, s, then components and wires were installed over their symbols on the schematic. Using thumbtacks Using thumbtacks or or small nails as mounting posts was also common. Breadboards have evolved over time, with the term now being used for all kinds of prototype electronic devices. For example, US Patent 3,145,483, [2] filed in 1961 and granted in 1964, describes a wooden plate breadboard with mounted mounted springs springs and other facili facilities ties.. US Patent Patent [3] 3,496,419, filed in 1967 and granted in 1970, refers to a particular printed particular printed circuit board layout board layout as a Printed Circuit Breadboard Breadboard . Both examples refer refer to and describe other types of breadboards as prior as prior art. art. The breadboard most commonly used today is usually made of white plastic and is a pluggable (solderless) breadboard. It was designed by Ronald J. Portugal of EI of EI [4] Instruments Inc. in Inc. in 1971. 12.1.1 12.1.1
Alterna Alternati tives ves
Alternative methods to create prototypes are point-topoint construction (reminiscent construction (reminiscent of the original wooden breadboards), wire breadboards), wire wrap, wrap , wiring pencil, pencil, and boards like In the early days of radio, amateurs nailed bare copper the stripboard the stripboard.. Complic Complicated ated system systems, s, such as modern modern wires or terminal strips to a wooden board (often literally computers computers comprisi comprising ng million millionss of transistors transistors,, diodes diodes,, and a board to slice bread on) and soldered electronic compo- resistors resistors,, do not lend themselves to prototyping using nents to them.[1] Sometimes a paper schematic paper schematic diagram breadboards, breadboards, as their complex complex designs can be difficult difficult to
12.1 12.1
Evol Evolut utio ion n
113
114
CHAPTER 12. BREADBOARD BREADBOARD
12.2.2 12.2.2
Bus and termina terminall strips strips
Wire wrap backplane wrap backplane
lay out and debug and debug on on a breadboard. Modern circuit designs are generally developed using a schematic schema tic capture capture and and simulation system, and tested in software simulation before simulation before the first prototype circuits are built on a printed a printed circuit board. board. Integrated circuit designs circuit designs are a more extreme version of the same process: since producing prototype silicon silicon is costly, extensive extensive software simulat simulation ionss are performe performedd before before fabricati abricating ng the first prototypes. However, prototyping techniques are still used for some applications such as RF RF circuits, circuits, or where software models of components are inexact or incomplete. You could also use a square grid g rid of pairs of holes where one hole per pair connects to its row and the other connects nec ts to its column. column. This same same shape shape can be in a circle with rows and columns each spiraling opposite clockwise/counterclockwise.
12.2
Solde Solderle rless ss breadb breadboar oard d
12.2.1 12.2.1
Typical Typical specifi specificat catio ions ns
A modern solderless breadboard consists of a perforated block of plastic with numerous tin numerous tin plated phosphor bronze or bronze or nickel nickel silver alloy silver alloy spring clips under the perforations. The clips are often called tie points or contact points. The The numb number er of tie tie poin points ts is ofte oftenn give givenn in the the spec spec-ification ification of the breadboard. The spacing between the clips (lead pitch) is typically 0.1 in (2.54 mm). Integrated circuits (ICs) circuits (ICs) in dual in dual in-line packages (DIPs) packages (DIPs) can be inserted to straddle the centerline of the block. Intercon Interconnec necting ting wires and the leads leads of discrete components (such as capacitors as capacitors,, resistors resistors,, and inductors)) can be inserted into the remaining free holes inductors to complete the circuit. Where ICs are not used, discrete components and connecting wires may use any of the holes. Typically the spring clips are rated for 1 ampere ampere at at 5 volts volts and and 0.333 amperes at 15 volts (5 watts (5 watts).).
The hole pattern for a typical etched prototyping PCB ( printed ( printed circuit board ) is similar to the node pattern of the solderless breadboards shown above.
Solderless breadboards are available from several different manufacturers, but most share a similar layout. The layout of a typical solderless breadboard is made up from two types of areas, called strips. Strips consist of interconnected electrical terminals. Terminal strips The
main areas, to hold most of the electronic components.
In the middle of a terminal strip of a breadboard, one typically finds a notch running in parallel to the long side. The notch is to mark the centerline of the terminal strip and provides provides limited airflow (cooling) to DIP ICs straddling the centerline. The clips on the righ rightt and and lef left of the the notc notchh are are each each conn connec ecte tedd in a raradial way; typically five clips (i.e., beneath five holes) in a row on each side of the notch are electrically connected. The five clip columns on the left of the notch are often marked marked as A, B, C, D, and E, while the ones on the right are marked F, G, H, I and J. When a “skinny” dual in-line pin package (DIP) integrat tegrated ed circ circui uitt (suc (suchh as a typic typical al DIP-14 DIP-14 or DIP-16 DIP-16,, which have a 0.3-inch (7.6 mm) separation between the pin rows) is plugged into a breadboard, the pins of one side of the chip are supposed to go into column E while the pins of the other side go into column F on the other side of the notch. Bus strips To
nents.
provide power to the electronic compo-
A bus strip strip usual usually ly contain containss two column columns: s: one one for ground ground and one for a suppl supplyy voltage. voltage. Howeve However, r, some breadboards only provide a single-column power distributions bus strip on each long side. Typically the column intended for a supply voltage is marked in red, while the column for ground is marked in blue or black. Some manufacturers manufacturers connect connect all terminals minals in a column. column. Others Others just connect connect groups groups
115
12.2. SOLDERLESS SOLDERLESS BREADBO BREADBOARD ARD
of, for example, 25 consecutive terminals in a column. The latter design provides provides a circuit circuit designer with some more control over crosstalk over crosstalk (inductively (inductively coupled noise) on the power supply bus. Often the groups in a bus strip are indicated by gaps in the color marking. Bus strips typically run down one or both sides of a terminal strip or between terminal strips. On large breadboards additional bus strips can often be found on the top and bottom of terminal strips. Some manufacturers provide separate bus and terminal strips. Others just provide breadboard blocks which contain both in one block. Often breadboard strips or blocks of one brand can be clipped together to make a larger breadboard. In a more robust variant, one or more breadboard strips are mounted on a sheet of metal. Typically, Typically, that backing sheet also holds a number of binding posts. posts. These posts provide a clean way to connect an external power supply. This type of breadboard may be slightly easier to handle. Several images in this article show such solderless breadboards. Diagram
sets or can be manually manually manufactu manufactured. red. The latter latter can become tedious work work for larger larger circuits. circuits. Ready-to-use jump wires come in different qualities, some even with tiny plugs plugs attached attached to the wire ends. ends. Jump wire material for ready-made or homemade wires should usually be 22 AW 22 AWG G (0.33 mm 2 ) solid copper, tin-plated wire - assuming no tiny plugs are to be attached to the wire ends. 5 The wire ends should be stripped 3 ⁄ 16 16 to ⁄ 16 16 in (4.8 to 7.9 mm). Shorter stripped wires might might result in bad contact with the board’s spring clips (insulation being caught in the springs). Longer stripped wires increase the likelihood of short-circuits on the board. Needle-nose pliers and ers and tweezers tweezers are are helpful when inserting or removing wires, particularly on crowded boards. Differently colored wires and color-coding and color-coding discipline discipline are often adhered to for consistency. However, the number of available colors is typically far fewer than the number of signal types or paths. Typically, Typically, a few wire colors are reserved for the supply voltages and ground (e.g., red, blue, black), some are reserved for main signals, and the rest are simply used where convenient. Some ready-touse jump wire sets use the color to indicate the length of the wires, but these sets do not allow a meaningful colorcoding schema. 12.2.4
Inside Inside a breadboard: breadboard: constructi construction on
A “full size” terminal breadboard strip typically consists consists of around 56 to 65 rows of connectors, each row contain- The following images show the inside of a bus strip. ing the above-mentioned two sets of connected clips (A to E and F to J). Together Together with bus strips on each side side this • Inside breadboard 1 makes up a typical 784 to 910 tie point solderless solderless breadboard. “Small size” strips typically come with around 30 • Inside breadboard 2 rows. Miniature solderle solderless ss breadboards as small small as 17 rows rows (no (no bus bus stri strips ps,, 170 170 tie tie poin points ts)) can can be found ound,, but but thes thesee • Inside breadboard 3 are only suitable for small and simple designs. 12.2.3 12.2.3 Ju Jump mp wires wires
• Inside breadboard 4 • Inside breadboard 5 • Inside breadboard 6 12.2.5
Advanc Advanced ed solderless solderless breadboard breadboardss
Some manufacturers provide high-end versions of solderless breadboards. These are typically high-quality breadboard modules mounted on a flat casing. The casing contains additional equipment for breadboarding, such as a power supply, supply , one or more signal more signal generators, generators, serial interfaces,, LED or LCD display modules, faces modules, and logic and logic probes. probes .[5] Stranded 22AWG 22AWG jump wires with solid tips Solde Solderl rles esss breadb breadboar oardd modul modules es can also also be foun oundd mounte mountedd on devic devices es like like microcontroller evaluation Jump wires (also called jumper wires) for solderless boards. They provide an easy way to add additional pebrea breadbo dboard arding ing can be obtain obtained ed in read ready-t y-to-u o-use se jump jump wire wire riphery circuits to the evaluation board.
116 12.2.6
CHAPTER 12. BREADBOARD BREADBOARD
High frequencie requenciess and and dead dead bugs bugs
For high-frequency development, a metal breadboard affords a desirable solderable ground plane, often an unetched piece of printed circuit circuit board; integrated circuits circuits are sometimes stuck upside down to the breadboard and soldered soldered to t o directly, a technique technique sometimes called “dead bug” construction because of its appearance. Examples of dead dead bug bug with with ground ground plane plane con constr struc uctio tionn are illust illustrat rated ed [6] in a Linear Technologies application note. For other uses of this technique see dead see dead bugs. bugs. 12.2.7 12.2.7
Limitat Limitation ionss
while smaller smaller components (e.g., SMD resistors) resistors) are usually ally soldered soldered directly directly onto the adapter. adapter. The adapter adapter is then then plug plugge gedd into into the the brea breadb dboa oard rd via via the the 0.1 0.1 in (2.5 (2.544 mm) mm) connectors. However, the need to solder the components onto the adapter negates some of the advantage of using a solderless breadboard. Very complex circuits can become unmanageable on a solderless breadboard due to the large amount of wiring required. The very convenience of easy plugging and unplugging of connections also makes it too easy to accidentally disturb a connection, and the system becomes unreliable. unreliable. It is possible to prototype systems with thousands of connecting points, but great care must be taken in careful assembly, and such a system becomes unreliable as contact resistance resistance develops develops over time. At some point, very complex systems must be implemented in a more reliable interconnection technology, to have a likelihood of working over a usable time period.
12.3 12 .3
Gall Galler ery y
• A solderless breadboard with a completed circuit. • A binary counter wired up on a large solderless breadboard.
adder with output bits linked to LEDs • Logical 4-bit adder on a typical breadboard. breadboard.
An example example of a complex complex circuit circuit built on a breadboar breadboard. d. The circuit is an Intel an Intel 8088 single board computer .
• Close-up of a solderless breadboard. An IC strad-
dling the centerline is probed with an oscilloscope probe.. The solderless breadboard is mounted on a probe blue painted metal plate base. Red and black binding posts are also present on the base; the black one is partly obscured by the oscilloscope probe.
Due to relatively large stray large stray capacitance compared capacitance compared to a prope properl rlyy laid laid out PCB (appr (approx ox 2pF betwe between en adja adjace cent nt concon[7] tact columns ), high inductance high inductance of of some connections • Example breadboard drawing. drawing. Two bus strips and and a relatively high and not very reproducible contact one terminal strip in one block. 25 consecutive consecutive terresistance,, solderless breadboards are limited to operaresistance minals in a bus strip connected (indicated by gaps in tion at relatively low frequencies, usually less than 10 the red and blue lines). Four binding Four binding posts depicted posts depicted MHz,, depending MHz depending on the nature of the circuit. circuit. The relrelat the top. atively high contact resistance can already be a problem for some DC and very low frequency circuits. Solderless breadboards breadboards are further further limited by their voltage and cur12.4 See 12.4 See also also rent ratings. Solderl Solderless ess breadboa breadboards rds usually usually cannot cannot acc accommo ommodate date • Brassboard surface-mount technology devices technology devices (SMD) or components with with grid spaci spacing ng other other than than 0.1 in (2.54 mm). mm). FurFur• Expansion spring ther, they cannot accommodate components with multi• Fahnestock clip ple ple rows rows of conne connecto ctors rs if these these conne connecto ctors rs don't don't match match the dual in-li in-line ne layout—i layout—itt is impossib impossible le to provide provide the corr correct ect • Iterative design electrical electrical connectivity. connectivity. Sometimes Sometimes small PCB PCB adapters adapters called “breakout adapters” can be used to fit the com• Perfboard ponent ponent to the board. board. Such adapters adapters carry carry one or more • Stripboard components and have 0.1 in (2.54 mm) spaced male connector pins in a single a single in-line or in-line or dual in-line layout, for • Veroboard insertion into into a solderless solderless breadboard. breadboard. Larger components are usually plugged into a socket on the adapter, • Wire wrap
12.6. EXTERNAL EXTERNAL LINKS
12.5
Ref Referenc erences es
[1] Description of the term breadboard breadboard [2] U.S. Patent 3,145,483 Test Board for Electronic Circuits [3] U.S. Patent 3,496,419 Printed Circuit Breadboard [4] US patent D228136, D228136, Ronald J. Portugal, “breadboard for for electronic components or the like”, issued 1973-08-14 [5] Powered breadboard [6] Linear technologies AN47. AN47. Dead-bug breadboards with ground plane, and other prototyping techniques, illustrated trated in Figur Figures es F1 to F24, from romp.A p.AN47 N47-98 -98.. There There is information formation on breadboarding on pages AN47-26 to AN4729. [7] Jones, David. “EEVblog #568 - Solderless Breadboard Capacitance”.. EEVblog. Retrieved 15 January 2014. Capacitance”
12.6 12.6
Exte Extern rnal al link linkss
• Large parallel processing design prototyped on 50 connected breadboards
117
Chapter 13
Perfboard
Top of a copper clad Perfboard Perfboard with solder pads for each hole. Perfboard is
a material for prototyping for prototyping electronic circuits also called (DOT PCB). It is a thin, rigid sheet with cuits also holes pre-drilled at standard intervals across a grid, usually ally a square square grid of 2.54 mm (0.1 in) spacing. spacing. These These holes are ringed by round or square copper pads. Inexpensive perfboard may have pads on only one side of the board, while better quality perfboard can have pads on both sides (plate-through ( plate-through holes). holes). Since each pad is electrically isolated, the builder makes all connections with either wire either wire wrap or wrap or miniature point miniature point to point wiring techwiring techniques. Discrete components are soldered to the prototype board such as resistors resistors,, capacitors capacitors,, and integrated and integrated circuits.. The substrate circuits substrate is typically typically made of paper laminated with phenolic with phenolic resin (such resin (such as FR-2 as FR-2)) or a fiberglassreinforced epoxy laminate (FR-4 ( FR-4).). The 0.1 in grid system accommodates accommodates integrated circuits in DIP in DIP packages packages and many other types of through-hole components. components. Perfboard is not designed designed for prototyping surface mount devices. devices . Before building a circuit on perfboard, the locations of the components and connections are typically planned in detail on paper or with software with software tools. tools. Small scale protoprototypes, however, are often built ad hoc, using an oversized perfboard. Software for PCB for PCB layout layout can often be used to generate perfboard layouts as well. In this case, the designer designer posi-
tions the components so all leads fall on intersections of a 0.1 in grid. g rid. When routing the connections connections more than 2 copper layers layers can be used, as multiple overlaps are not a problem for insulated wires. Once the layout is finalized, the components are soldered in their designated locations, paying attention to orientation of polarized parts such as electrolytic capacitors, diodes, and integrated circuits. Next, electrical connections are made as called for in the layout. One school of thought is to make as many connections as possible without without adding extra wire. This is done by bending the exis existin tingg leads leadson on resi resisto stors, rs, capac capacito itors, rs, etc. etc. into into positio sition, n, trimmi trimming ng off extra extra length length,, and solde solderin ringg the lead lead to make the required electrical connection. connection. Another school of thought refuses to bend the excessive leads of components and use them for wiring, on the ground that this makes removing a component later hard or impossible, e.g. when a repair is needed. If extra wires need to be used, or are used for principle reasons, they are typically routed entirely on the copper side of perfboards. Because, as opposite opposite to strip boards, nearby holes holes aren't connected, and the only hole in a pad is already occupied occupied by a component’s component’s lead. Wires used range from isolated wires, including verowire (enameled copper wire with a polyurethane insulation supposed to melt when soldered)), to bare copper wire, depending on individual preference, and often also on what is currently at hand in the workshop. For For insula insulated ted wires wires thin thin solid solid core core wire wire with with temper temperatu aturereresistant insulation insulation such as Kynar as Kynar or or Tefzel is preferred. The wire gauge is typically 24 - 30 A 30 AWG WG.. A special stripping tool can be used, incorporating a thin steel blade with a slit that the wire is simply inserted into and then pulled pulled loose, leaving leaving a clean stripped stripped end. This wire was developed initially for circuit assembly by the wire wrap technique wrap technique but also serves well for miniature pointto-point wiring on perfboard. Bare copper copper wire is useful when merging a number of connections to form an electrical bus such bus such as the circuit’s ground circuit’s ground,, and when there is enough space to properly route connections, instead of wiring them rats-nest style. Intention Intentional al solder solder bridges bridges can be used to conn connect ect adjac adjacent ent pads when necessary. Careful hand–eye coordination is
118
13.1. SEE SEE ALSO
needed to avoid causing inadvertent short circuits. Circuits assembled on perfboard are not necessarily fragile but may be less impact-resistant than printed circuit boards. Perfboard differs from stripboard stripboard in in that each pad on perfboard is isolated. isolated. Stripboard is made made with rows of copper conductors that form default default connections, which are broken into isolated segments as required by scraping through the copper. This is similar to the pattern of defaul defaultt conn connecti ections ons on a solder solderles lesss breadboard breadboard.. However, the absen absence ce of def default ault conne connecti ctivit vityy on perfb perfboar oardd give givess the designer more freedom in positioning components and lends itself more readily to software-aided design than stripboard or breadboard.
Bottom of a copper clad Perfboard Perfboard with a ground a ground plane
A 555 timer circuit on perforated board
13.1 13 .1
See See also also
Stripboard ( (Veroboard Veroboard)) • Stripboard • Breadboard Breadboard (Protoboard) (Protoboard)
119
Chapter 14
Stripboard For film preproduction, see Production see Production board. board. 14.1 14.1 Variat ariatio ions ns the gene generi ricc name name for a wide widelly used used type type of Stripboard is the Stripboard is available available from many vendors. All versions have have copper strips strips on one side. side. Some are made using using printed circuit board etching board etching and drilling techniques, although some have milled strips and punched holes. The original original Veroboa Veroboard rd used FR-2 syn syntheti thetic-re c-resinsin-bond bonded ed paper (SRBP) (also (SRBP) (also known as phenolic board) as the base board material material.. Some versio versions ns of stripboard stripboard now now use higher quality FR-4 FR-4 (fiberglass-reinforced (fiberglass-reinforced epoxy lami[1] nate) material.
14.2 14.2
Hole Hole spac spacin ing g
Stripboard holes are drilled on 0.1 inch (2.54 mm) centers. This spacing allows allows components components having pins with with a 0.1 inch (2.54 mm) spacing to be inserted. Compatible parts include DIP include DIP ICs, ICs, sockets for ICs, some types of connectors, and other devices. Stripboards have evolved over time into several variants and related products. products. For example, example, a larger version using a 0.15 inch (3.81 mm) grid and larger holes is available, but is generally less popular (presumably because it doesn't match up with standard IC pin spacing).
A piece of unused stripboard
electronics prototyping board prototyping board characterized by a 0.1 inch (2.54 mm) regular (rectangular) grid of holes, with wide parallel strips of copper cladding running in one direction all the way across one side of the board. It is commonly also known by the name of the original product Veroboard,, which is a trademark, in the UK, of British Veroboard company Vero company Vero Technologies Ltd and Ltd and Canadian company Pixel PixelPri Print nt Ltd. Ltd. In using using the board, board, brea breaks ks are made made in the tracks, usually around holes, holes, to divide the strips into multiple electrical electrical nodes. nodes. With care, it is possible to break break between holes to allow for components that have two pin rows only one position apart such as twin row headers headers f for or IDCs.. IDCs Stripboard is not designed for surface-mount components, though it is possible to mount many such component ponentss on the track track side side,, partic particul ularl arlyy if track trackss are cut/shaped with a knife or small cutting disc in a rotary tool.. tool
14.3
Board Board dimen dimensi sion onss
Stripboard is available in a variety of sizes. One common size (at least in the United Kingdom) is 160 mm x 100 mm.[2]
14.4 14.4
Assem Assembl blie iess
The components are usually placed on the plain side of the board, with their leads protruding through the holes. The leads are then soldered soldered to to the copper tracks on the other side of the board to make the desired connections, connections, and any excess wire is cut off. The continuous tracks may be easil easilyy and neatl neatlyy cut cut as desi desired red to form break breakss betwe between en conductors using a 3 mm twist drill twist drill,, a hand cutter made
120
121
14.6. PROTOTY PROTOTYPE PE BOARDS
14.5.2 14.5.2 Bread Breadbo board ard
Veroboard is similar in concept and usage to plugin in breadboard, breadboard, but is cheaper and more permanent— connections are soldered and while some limited reuse may be possible, more than a few cycles of soldering and desoldering are likely to render both the components and the board unusable. In contrast, breadboard connections connections are held by friction, and the breadboard can be reused many times. However, a breadboard is not very suitable for prototyping that needs to remain in a set configuration for an appreciable period of time nor for physical mockups containing a working circuit or for any environment subject to vibration or movement.
14.6 14.6
Proto Prototyp typee board boardss
An example of a populated stripboard
Strip Stripboa board rdss have have further urther evol evolve vedd into into a large largerr class class of proprototype totype board boards, s, avail availabl ablee in differ differen entt shape shapess and sizes sizes,, with with different conductive trace layouts. 14.6 14.6.1 .1
for the purpose, or a knife. Tracks may be linked up on either side of the board using wire. With practice, very neat and reliable reliable assemblies assemblies can be created, though such a method is labour-intensive and therefore unsuitable for production assemblies except in very small quantity. External wire connections to the board are made either by soldering the wires through the holes or, for wires too thick to pass through the holes, by soldering them to specially made pins called Veropins which fit tightly into the holes. holes. Alternati Alternativel vely, y, some types of connectors connectors have a suitable suitable pin spacing to be inserted directly into the board.
14.5 14 .5
TriP TriPad ad
TriPad stripboard has strips of copper broken up into three-hole sections
For example, one variant is called a TriPad board. This is similar to stripboard, except that the conductive tracks do not run continuously continuously along the board but are broken into sections, sections, each of which spans spans three holes. This allows allows the legs of two or three components to be easily linked together in the circuit conveniently without the need for track breaks to be made. However, However, in order to link more than three holes together, wire links or bridges must be formed and this can result in a less compact layout than Comp Compar aris ison on with with othe otherr sysys- is possible with ordinary stripboard.
tems 14.6 14.6.2 .2 14.5.1 14.5.1 Wire Wire wrap wrap
Perf+ erf+
Another variant is Perf+. [4] This is best described as a selective selective stripboard. Instead of having all the holes conFor high high density densityproto prototypi typing, ng, especi especiall allyy of digital digital circui circuits, ts, nected together in a strip, a Perf+ board can have holes wire wrap is wrap is faster and more reliable than Stripboard for connected to the bus using a small dab of solder. On the experienced personnel. [3] other side the busses run in another direction, allowing
122
CHAPTER 14. STRIPBOARD STRIPBOARD [5] BusBoard Prototype Systems Ltd. “PR3UC ProtoBoard With Connectors Datasheet” Retrieved Datasheet” Retrieved on 2010-10-20.
Closeup of a corner of a Perf+ prototyping board showing the pad shapes
compact layouts of complicated circuits by passing signals over each other on different layers of the board. 14.6 14.6.3 .3
Othe Otherr
Other prototype board variants have generic layouts to simplify building prototypes with integrated with integrated circuits, circuits, typically in DIP in DIP shapes, shapes, or with transistors (pads (pads forming triangles). In particular, some boards mimic the layout of breadboards, to simplify moving a non-permanent prototype on a breadboard to a permanent construction on a PCB PCB.. Some types of boards have patterns for connectors on the periphery, like DB9 like DB9 or or IDC IDC headers, headers, to allow connectors with non-standard pin spacings to be easily used.[5] Some come in special physical shapes, to be used to prototype plug-in boards for computer bus systems.
14.7 14 .7
See See also also
• Point-to-poin Point-to-pointt construction • Breadboard • Perfboard • Veroboard 14.8
Ref Referenc erences es
[1] BusBoard Prototype Systems Ltd. “ST3U StripBoard Datasheet” Retrieved Datasheet” Retrieved on 2010-10-20. [2] Prototype and development development boards from from RS RS Components The board size with the largest number of products listed is 160 mm x 100 mm. [3] Bilotta, Anthony J.: Connections in Electronic Assemblies. Marcel Dekker: 1985. ISBN 1985. ISBN 0-8247-7319-5 [4] Original Original Kickstarte Kickstarterr for for Perf+. Perf+. “P “Perf erf++ the per perfboa fboard rd rei reinnvented” Retrieved vented” Retrieved on 2015-4-17.
Chapter 15
Analogue electronics Analogue electronics Analogue electronics (or analog in American in American English) English)
are electronic are electronic systems systems with a continuously continuously variable variable signal, in contrast to digital to digital electronics where electronics where signals usually take only take only two levels. levels . The term “analogue” describes the proportional relationship between a signal and a voltage or current that represents the signal. The word analogue is derived from from the Greek word ανάλογος (analogos) meaning “proportional”. “proportional”.[1]
15.1
of a sound striking a microphone a microphone creates creates a corresponding variation in the current passing through it or voltage across it. An increase in the volume of the sound causes the fluctuation of the current or voltage to increase proportionally while keeping the same waveform same waveform or or shape. Mechanical,, pneumatic Mechanical pneumatic,, hydraulic hydraulic and and other systems may also use analogue signals. signals.
Analo Analogue gue signa signals ls 15.2 15.2
Main article: Analogue article: Analogue signal An analogue signal uses some attribute of the medium to convey convey the signal’s signal’s informa information tion.. For exampl example, e, an aneroid barometer uses the angular position of position of a needle as the signal to convey convey the information information of changes in atmospheric pressure. pressure .[2] Electrical signals may represent informa information tionby by changing changing their their voltage, voltage, curr current, ent, freque frequenc ncy, y, or total charge. charge. Informa Information tion is converte convertedd from some other physical form (such as sound, light, temperature, pressure, pressure, position) to an electrical signal signal by a transducer which converts converts one one type of energy into into another (e.g. a [3] microphone).). microphone The signals take any value from a given range, and each unique signal value represents different information. Any change in the signal is meaningful, and each level of the signal represents a different level of the phenomenon that it represents. represents. For example, example, suppose the signal is being being used to represent temperature, with one volt volt represent representing one degree Celsius. In such a system 10 volts would represent represent 10 degrees, degrees, and and 10.1 volts would represent represent 10.1 degrees. Anothe Anotherr metho methodd of conv convey eying ing an analogu analoguee signa signall is to use modulation.. In this modulation this,, somebasecarr somebasecarrie ierr sign signal al has has one one ofit of itss properties altered: amplitude modulation modulation (AM) (AM) involves altering the amplitude of a sinusoidal voltage waveform by the source information, frequency modulation (FM) modulation (FM) changes the frequency. frequency. Other techniques, techniques, such as phase modulation or modulation or changing the phase of the carrier signal, are also used. [4] In an analogue sound recording, the variation in pressure
Inher Inheren entt nois noisee
Analogue systems invariably include noise noise that that is random disturbances or variations, some caused by the random thermal thermal vibrations vibrations of atomic particle particles. s. Since Since all variations of an analogue signal are significant, any disturbance is equivalent to a change in the original signal and so appears as noise. [5] As the signal is copied and re-copied, or transmitted over long distances, these random dom varia variatio tions ns become becomemor moree signi signific ficant ant and lead lead to signa signall degradation. Other sources of noise may include external electrical electrical signals or poorly designed components. components. These disturbances disturbances are reduced by shielding by shielding and and by using lowusing lownoise amplifiers (LNA). amplifiers (LNA).[6]
15.3 15.3
Anal Analog ogue ue vs digi digita tall elec electr tron onic icss
Since the information information is encoded differently differently in analogue and digital and digital electronics, electronics, the way they process a signal is conseque consequentl ntlyy differen different.t. All operation operationss that can be performed on an analogue signal such as amplification amplification,, filtering,, limiting, and others, can also be duplicated in filtering the digital domain. domain. Every digital circuit circuit is also an analogue circuit, in that the behaviour of any digital circuit can be explained using the rules of analogue circuits. The first electronic devices invented and mass-produce mass-producedd weree analogue. wer analogue. The use of of microelectronics microelectronics has has made digital devices cheap and widely available.
123
124 15.3 15.3.1 .1
CHAPTER 15. ANALOGUE ELECTRONICS ELECTRONICS
Nois No isee
15.4 15 .4
See See also also
• Analogue computer Because of the way information is encoded in analogue circuits, they are much more susceptible to noise noise than than • Analogue signal digital circuits, since a small change in the signal can represent a significant change in the information present in • Digital Digital – – for a comparison with analogue thesi the signa gnall andca and cann cause causethe theinf inform ormati ation on prese present nt to be lost. lost. • Analogue recording recording vs. digital recording recording Since digital signals take on one of only two different values, a disturbance would have to be about one-half the • Analogue chip magnitude magnitude of the digital digital signal signal to cause an erro error. r. This prope property rty of digit digital al circ circui uits ts can canbe be expl exploi oited ted to make make signal • Analogue verification processing noise-resistant. processing noise-resistant. In digital electronics, because • Electronic circuit the information is quantized is quantized,, as long as the signal stays inside a range of values, it represents the same information. Digital circuits use this principle to regenerate the signal at each logic each logic gate, gate, lessening or removing noise.[7] 15.5 15.5 Ref Referen erence cess [1] Concise Oxford dictionary (10 ed.). Oxford Oxford Univers University ity Press Inc. 1999. ISBN 1999. ISBN 0-19-860287-1. 0-19-860287-1.
15.3.2 15.3.2 Prec Precis isio ion n
A number of factors affect how precise a signal is, mainly the noise noise pres present ent in the origin original al signa signall and thenoi the noise se added added by processing (see signal-to-noise ratio). ratio). Fundamen Fundamental tal phys physic ical al limits limitssu such ch as the sho shott nois noisee in compone components nts limits limits the resolution of analogue signals. In digital electronics additional precision is obtained by using additional digits to represent the signal. signal. The practical practical limit in the number of digits is determined by the performance of the analogue-to-digital converter (ADC), converter (ADC), since digital digital operations can usually be performed without loss of precision. The ADC takes an analogue signal and changes it into a series of binary of binary numbers. numbers. The ADC may be used in simple digital display display devices, devices, e. g., thermometers or light light meters but it may also be used in digital sound recording and in data acquisition. acquisition. However, However, a digital-to-anal digital-to-analogue ogue converter (DAC) converter (DAC) is used to change a digital signal to an analogue analogue signal. signal. A DAC takes takes a series series of binary numbers and converts it to an analogue signal. It is common to find a DAC in the gain-control system of an op-amp an op-amp which in turn may be used to control digital amplifiers and filters. [8]
15.3.3 15.3.3
Design Design difficu difficulty lty
Analogue circuits are typically harder to design, requiring more skill, than comparable digital systems. This is one of the main reasons why digital systems have become more common than analogue devices. An analogue circuit must be designed by hand, and the process is much less automated than for for digital systems. systems. However, However, if a digital electronic device is to interact with the real world, it will always need an analogue interface. [9] For example, every digital every digital radio receiver radio receiver has an analogue preamplifier preamplifier as the first stage in the receive chain.
[2] Plympton, Plympton, George George Washingto Washingtonn (1884). (1884). The aneroi aneroid d barometer: its construction and use . D. Van Nostran Co. [3] Singmin, Andrew (2001). Beginning Digital Electronics Through Pro Projects jects. Newnes. p. 9. ISBN 0-7506-7269-2. 0-7506-7269-2. Signals come from transducers... [4] Miller, Miller, Mark R. (2002). (2002). Electronics the Easy Way . Barron’s Educati Educational onal Serie Series. s. pp. 232–239. 232–239. ISBN 0-76411981-8.. Until the radio came along... 1981-8 [5] Hsu, Hwei Piao (2003). Scha Schaum’s um’s Outline Outline of Theory and Problems of Analogue and Digital Communications . McGraw-Hill Professional. p. 202. ISBN 202. ISBN 0-07-1402284. The presence presence of noise degrades degrades the performan performance ce of communication communication systems. [6] Carr, Joseph J. (2000). Secr Secrets ets of RF circuit design design. McGraw-Hill Professional. p. 423. ISBN 423. ISBN 0-07-1370676. It is common in microwave systems... [7] Chen, Wai-Kai (2005). The electrical engineering handbook . Acad Academi emicc Press Press.. p. 101. 101. ISBN 0-12-170960-4. 0-12-170960-4. Noise from an analog (or small-signal) perspective... perspective... [8] Scherz, Paul (2006). Practical electronics for inventors . McGraw-Hill Professional. p. 730. ISBN 730. ISBN 0-07-1452818. In order for for analog analog devices devices... ... to communica communicate te with digital circuits... [9] Williams, Jim (1991). Analog circuit design. Newnes. p. 238. ISBN 238. ISBN 0-7506-9640-0. 0-7506-9640-0. Even within companies producing both analog and digital products...
Chapter 16
Digital electronics Three digital circuits
relatively small changes to the analog signal levels due to manufacturing manuf acturing tolerance tolerance,, signal attenuation or attenuation or parasitic noise do noise do not leave the discrete envelope, and as a result are ignored by signal state sensing circuitry. In most cases the number of these states is two, and they are represented by two voltage bands: one near a reference value (typically termed as “ground” or zero volts), and the other a value near the supply voltage. voltage. These correspond to the “false” “false” (“0”) and “true” “t rue” (“1”) values of the Boolean domain, domain, respectively, yielding binary yielding binary code. code. Digital techniques are useful because it is easier to get an elec electro tronic nicde devi vice ce to switc switchh into into one one of a number numberof of known known states than to accurately reproduce a continuous range of values. Digital electronic Digital electronic circuits are circuits are usually made from large asgates, simple electronic representations semblies of logic of logic gates, of Boolean of Boolean logic functions. functions.[1]
A binary clock, clock , hand-wired on breadboards on breadboards
16.1 16.1
An industrial digital controller
Intel 80486DX2 microprocessor 80486DX2 microprocessor Digital electronics, or digital (electronic) circuits, are
electronics that represent signals by discrete bands of analog levels, levels, rather than by continuous by continuous ranges ranges (as used in analogue in analogue electronics). electronics ). All levels within a band represent the same signal state. Because of this discretization discretization,,
Advant vantag ages es
An advantage advantage of digital digital circuits circuits when when compared compared to analog analog circuits is that signals represented digitally can be transmitted without degradation due to noise to noise..[2] For example, a continuous audio signal transmitted as a sequence of 1s and 0s, can be reconstructed without error, provided the noise picked picked up in transmission is not enough to prevent identification of the 1s and 0s. An hour of music can be stored on a compact a compact disc using disc using about 6 billion binary digits. In a digital system, a more precise representation of a signal can be obtained by using more binary digits to represent it. While this requires more digital circuits to process the signals, each digit is handled by the same kind of hardware, resulting in an easily scalable easily scalable system. system. In an analog system, additional additional resolution resolution requires requires fundamenfundamental improve improvement mentss in the linearit linearityy and noise noise charac characteris teristic ticss of each step of the signal the signal chain. chain . Computer-controlled digital systems can be controlled by software, allowing new functions to be added without changing changing hardware hardware.. Often Often this can be done outside outside of the factory factory by updating the product’s product’s software. software. So, the
125
126
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS
product’s design errors can be corrected after the product is in a customer’s hands. Information Information storage can be easier in digital systems systems than in analog ones. The noise-immunity noise-immunity of digital systems systems permits data to be stored and retrieved without degradation. In an analog system, noise from aging and wear degrade the information information stored. In a digital system, as long as the total noise is below a certain level, the information can be recovered perfectly.
16.2
Disad Disadva vanta ntage gess
In some cases, digital circuits use more energy than analog circuits to accomplish the same tasks, thus producing more heat which increases the complexity of the circuits such as the inclusion of heat sinks. In portable or batterypowered systems this can limit use of digital systems. For example, battery-powered cellular telephones often use a low-power analog front-end to amplify to amplify and and tune tune in in the radio the radio signals signals from the base station. However, a base statio stationn hasgri has gridd powe powerr andcan usepo use powe wer-h r-hung ungry, ry, butve but very ry flexible software flexible software radios. radios . Such base stations can be easily easily reprogrammed to process the signals used in new cellular standards. Digital circuits are sometimes more expensive, especially in small quantities. Most useful digital systems must translate from continuous analog signals to discrete digital signals. This causes quantization errors. errors. Quantizati Quantization on error can be reduced reduced if the system stores enough digital data to represent the signal to the desired degree of fidelity fidelity.. The NyquistShannon sampling theorem provides theorem provides an important guideline as to how much digital data is needed to accurately portray a given analog signal. In some systems, if a single piece of digital data is lost or misinterpreted, the meaning of large blocks of related data can completely change. Because of the cliff effect, effect, it can be difficult for users to tell if a particular system is right on the edge of failure, or if it can tolerate much more noise before failing. Digital fragility can be reduced by designing a digital system for for robustness robustness.. For exampl example, e, a parity bit or bit or other error management method can method can be inserted into the signal path. These schemes help the system detect errors, and then either correct either correct the errors, errors , or at least ask for a new copy of the data. In a state-machine, the state transition logic can be designed to catch unused states and trigger a reset sequence or other error recovery routine. Digital memory and transmission systems can use techniques such as error detection and correction to use additional data to correct any errors in transmission transmission and storage. On the other hand, some techniques used in digital sys-
tems make those systems more vulnerable to single-bit errors. These techniques techniques are acceptable acceptable when the underlying bits are reliable enough that such errors are highly unlikely. A single-bit error in audio data stored directly as linear pulse code modulatio modulationn (such as on a CD-ROM a CD-ROM)) causes, at worst, a single click. Instead, many people use audio compression to compression to save storage space and download time, even even thoug thoughh a singl single-b e-bitit error error may may corru corrupt pt theent the entire ireson song. g.
16.3 16 .3
Des Design ign iss issues ues in digi digita tall circircuits
Digital circuits are made from analog components. The design must assure that the analog nature of the components doesn't dominate the desired digital behavior. Digital systems must manage noise and timing margins, parasitic inductances and capacitances, and filter filter power power connections. Bad Bad desi design gnss have have inte interm rmit itte tent nt prob proble lems ms such such as “glitches”, vanishingly fast pulses that may trigger some logic but not others, "runt " runt pulses" pulses" that do not reach valid “threshold” voltages, or unexpected unexpected (“undecoded”) combinations of logic states. Additionally, Additionally, where clocked clocked digital systems interface to analog systems or systems that are driven from a different clock, the digital system can be subject to metastability where a change to the input violates the set-up time for a digital input latch. This situation will self-resolve, but will take a random time, and while it persists can result in invalid signals being propagated within the digital system for a short time. Since digital circuits are made from analog components, digital circuits calculate more slowly slowly than t han low-precisio low-precisionn analog circuits that use a similar amount of space and power. However, However, the digital circuit will calculate calculate more repeatably, because of its high noise immunity. On the other hand, in the high-precision domain (for example, where 14 or more bits of precision are needed), analog circuits require much more power and area than digital equivalents.
16.4 16.4
Cons Constr truc ucti tion on
A digital circuit is often constructed from small electronic circuits called logic called logic gates that gates that can be used to create combinational combin ational logic logic.. Each Each logic logic gate represe represents nts a functi function on of boolean of boolean logic. logic. A logic gate is an arrangement of electrically controlled switches, better known as transistors transistors.. Each logic symbol is represented by a different shape. The actual set of shapes was introduced in 1984 under IEEE/ANSI standard 91-1984. “The logic symbol given given under this standard are being increasingly used now and
127
16.4. CONSTR CONSTRUCTION
have even started appearing in the literature published by manufacturers of digital integrated circuits.” [3] The output of a logic gate is an electrical flow electrical flow or or voltage, that can, in turn, tur n, control more logic gates. Logic gates often use the fewest number of transistors in order to reduce their size, power consumption and cost, and increase their reliability. Integrated circuits are circuits are the least expensive way to make logic gates in large volumes. Integrated circuits are usually designed by engineers using electronic design automation software tomation software (see below for more information). Another Another form form of digital digital circuit circuit is con construc structed ted from from lookup lookup tables, (many sold as "programmable " programmable logic devices", devices ", though though other kinds kinds of PLDs exist). exist). Lookup Lookup tables can perform the same functions as machines based on logic gates, but can be easily reprogrammed without changing the wiring. This means that a designer can often repair design design errors without changing the t he arrangement of wires. Theref Therefore, ore, in small small volume volume products products,, programma programmable ble logic logic devices are often the preferred solution. They are usually designed by engineers using electronic design automation software. When the volumes are medium to large, and the logic can be slow, slow, or or involv involves es comple complexx algorithm algorithmss or sequenc sequences, es, often a small microcontroller small microcontroller is is programmed programmed to to make an embedded system. system . These These are usually usually program programmed med by software engineers. engineers. When only one digital circuit is needed, and its design is totally customized, as for a factory production line controller, the conventional solution is a programmable a programmable logic controller,, or PLC. These are usually programmed by controller electricians, using ladder using ladder logic. logic.
the least electronics, electronics, is to construct an equivalent equivalent system of electronic switches (usually transistors transistors). ). One of the the easie easiest st ways ways is to simpl simplyy have have a memo memory ry conta containi ining ng a truth truth table. The inputs are fed into the address of the memory, and the data outputs of the memory become the outputs. For automated analysis, these representations have digital file formats that can be processed by computer programs. Most digital engineers are very careful to select computer programs (“tools”) with compatible compatible file formats. formats.
Combinational vs. Sequential
To choose choose represe representati ntations, ons, engineer engineerss conside considerr types types of digital digital systems systems.. Most digital digital system systemss divide divide into "combinational systems" systems" and "sequential "sequential systems.” systems .” A combinational system always presents the same output when given given the same inputs. It is basically basically a representation of a set of logic functions, as already discussed. A sequential system is a combinational system with some of the outputs fed back back as inputs. inputs. This makes makes the digital machine machine perform perform a “sequence” of operations. operations. The simplest sequential system is probably a flip flop, flop, a mechanism that represents a binary a binary digit or digit or "bit "bit". ". Sequential systems are often designed as state machines. machines . In this way, engineers can design a system’s gross behavior, and even test it in a simulation, without considering all the details of the logic functions. Sequenti Sequential al systems systems divide divide into two furthe furtherr subcate subcate-gories. “Synchronous” sequential systems change state all all at once once,, when when a “clo “clock ck”” sign signal al chan change gess stat state. e. “Asynchronous” sequential systems propagate changes whenever whenever inputs change. change. Synchronous Synchronous sequential sequential systems are made of well-characterized asynchronous cir16.4.1 16.4.1 Struct Structure ure of digi digital tal system systemss cuits such as flip-flops, that change only when the clock changes, and which have carefully designed timing marEngineers Engineersuse use many many methods methods to minimize minimize logic logic functi functions, ons, gins. in order to reduce reduce the circuit’s circuit’s complex complexity. ity. When When the complexity is less, the circuit also has fewer errors and less electronics, and is therefore less expensive. The most widely used simplification is a minimization Synchronous Systems algorithm like the Espresso heuristic logic minimizer within a CAD a CAD system, system, although historically, binary de- The usual way to implement a synchronous sequential cisionn diagrams cisio diagrams,, an automated Quine–McCluskey automated Quine–McCluskey algo- state machine is to divide it into a piece of combinational rithm,, truth tables rithm t ables,, Karnaugh maps, maps, and Boolean and Boolean algebra logic and a set of flip flops called a “state register.” Each have been used. time a clock signal ticks, the state register captures the feedback generated from the previous state of the combinatio national nal logic logic,, and feeds eeds it back back as an unc unchan hangin gingg input input to Representation the combinational part of the state machine. The fastest rate of the clock is set by the most time-consuming logic Repre Represen sentat tatio ions ns are cruci crucial al to an engin enginee eer’s r’s desi design gn of digdig- calculation in the combinational logic. ital circuits. circuits. Some analysis analysis methods only work with par- The The stat statee regi regist ster er is just just a repr repres esen enta tati tion on of a bina binary ry numnumticular representations. ber. If the states states in the state machine machine are are numbered numbered The classical way to represent a digital circuit circuit is with an (easy to arrange), the logic function is some combinaequivalent set of logic of logic gates. gates. Another Another way, way, often often with tional logic that produces the number of the next state.
128
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS
that step are valid, and presents a signal that says, “grab the data” to the stages that use that stage’s inputs. inputs. It turns As of 2014, almost all digital machines machines are synchronous synchronous out that just a few relatively simple synchronization cirdesigns because it is easier to create and verify a syn- cuits are needed. chronous design. However, asynchronous logic is thought can be superior because its speed is not constrained by an arbitrary clock; clock; instead, it runs at the maximum speed of its logic gates. Building an asynchronous asynchronous system system using Computer Design faster parts makes the circuit faster. Many systems need circuits that allow external unsyn- The most general-p general-purpos urposee register register-tran -transf sfer er logic logic machine machine chronized signals to enter synchronous logic circuits. is a computer. computer. This This is basi basica callllyy an automatic automatic binary These are inherently asynchronous in their design and abacus abacus.. The cont control rol unit unitof of a compu computer teris is usuall usuallyy desi designe gnedd must be analyzed as such. Examples of widely used asyn- as a microprogram microprogram run run by a microsequencer a microsequencer.. A microchronous circuits include synchronizer flip-flops, switch switch program is much like a player-piano roll. Each table endebouncers and debouncers and arbiters arbiters.. try or “word” “word” of the micr micropr oprogr ogram am comma command ndss thesta the state te of Asynchronous logic components can be hard to design every bit that controls the computer. The sequencer then because all possible states, in all possible timings must be counts, and the count addresses the memory or combinaconsidered. The usual method is to construct a table of tional tional logic logic machine machinethat that con contain tainss the micropr microprogram. ogram. The the the mini minimu mum m and and maxi maximu mum m time time that that each each such such stat statee can can bits from the microprogram control the arithmetic logic exist, and then adjust the circuit to minimize the number unit unit,, memory memory and and other parts of the computer, includof such states. Then the designer must force the circuit ing the microsequencer itself.A “specialized computer” to periodically periodically wait for all of its parts to enter a compat- is usually a conventional computer with special-purpose ible state (this is called “self-resynchr “self-resynchronization”). onization”). With- control logic or microprogram. microprogram. out such careful design, it is easy to accidentally produce In this way, the complex task of designing the controls of asynchronous logic that is “unstable,” that is, real elec- a computer is reduced to a simpler task of programming tronics will have unpredictable results because of the cu- a collection of much simpler logic machines. mulative delays caused by small variations in the values Almost all computers computers are synchronous. synchronous. However, However, true of the electronic components. asynchronous asynchronous computers have have also been designed. One example is the Aspida DLX DLX core. core.[4] Another was ofRegister Transfer Systems fered by ARM Holdings. Holdings. Speed Speed advanta advantages ges have have not materialized, materialized, because modern computer designs designs already Many digital systems are data flow machines. These are run at the speed of their slowest componment, usually memory. These These do use somewha somewhatt less less power because because usually usuallydes design igned ed using using synchr synchrono onous us regi register stertrans transfe ferr logi logicc, memory. a clock distrib dis tributio ution n network networ k is not needed. need ed. An unexusing hardware using hardware description languages such as VHDL as VHDL or or pected advantage is that asynchronous computers do not Verilog.. Verilog In register transfer logic, binary numbers numbers are are stored in produce spectrally-pure radio noise, so they are used in mobile-phone base-station base-station controllers. They may groups of flip flops called registers called registers.. The outputs of each some mobile-phone be more secure in cryptographic applications because register are a bundle of wires called a " bus bus"" that carries electrical and radio emissions emissions can be more difficult that number to other calculations. A calculation is sim- their electrical [5] ply a piece of combinational logic. Each calculation also to decode. has an output bus, and these may be connected to the inputs of several registers. Sometimes a register will have a multiplexer on its its inpu input,t, so that that it can can stor storee a numb number er from rom any one of several several buses. Alternatively, Alternatively, the outputs of Computer Architecture several several items may be connected to a bus through buffers through buffers that can turn off the output of all of the devices except Computer architecture is architecture is a specialized engineering activone. A sequential state machine controls when each reg- ity that tries to arrange the registers, calculation logic, ister accepts new data from its input. buses and other parts of the computer in the best way for Asynchronous register-transfer systems (such as comput- some purpose. Computer architects architects have applied applied large ers) ers) have have a gene general ral soluti solution. on. In the 1980s, 1980s, some some re- amounts of ingenuity to computer design to reduce the search searchers ers discove discovered red that almost almost all synchrono synchronous us register register-- cost and increase the speed and immunity to programtransfe transferr machine machiness cou could ld be con conver verted ted to asynch asynchrono ronous us de- ming errors of computers. An increasingly increasingly common goal signs by using first-in-first-out synchronization logic. In is to reduce the power used in a battery-powered comthis scheme, the digital machine is characterized as a set puter system, such as a cell-phone. Many computer arof data flows. In each step of the flow, an asynchronous chitects serve an extended apprenticeship as micropro“synchronization circuit” determines when the outputs of grammers. Asynchronous Systems
129
16.4. CONSTR CONSTRUCTION
16.4.2 16.4.2
Automa Automated ted desig design n too tools ls
To save costly engineering effort, much of the effort of designing designing large logic machines has been automated. The computer programs are called "electronic " electronic design automation tools” tion tools” or just “EDA.” Simpl Simplee truth truth table table-st -styl ylee desc descrip riptio tions ns of logi logicc areof are often ten optimized with EDA that automatically produces reduced systems of logic gates or smaller lookup tables that still produce the desired desired outputs. The most common examexample of this kind of software is the Espresso the Espresso heuristic logic minimizer.. minimizer Most practical algorithms for optimizing large logic systems use algebraic use algebraic manipulations or manipulations or binary binary decision diagrams,, and there are promising experiments with genetic grams algorithms and algorithms and annealing annealing optimizations. optimizations. To automate costly engineering processes, some EDA can take state take state tables t ables that that describe state describe state machines and machines and automatically produce a truth table or a function table for table for the combinational the combinational logic of logic of a state machine. The state table is a piece of text that lists each state, together with the conditions controlling the transitions between them and the belonging output signals. It is common for the function tables of such computergenerated state-machines to be optimized with logicminimization software such as Minilog as Minilog.. Often, real logic systems are designed as a series of subpro projects, jects, which which arecom are combi bined nedusi using ng a “tool “tool flow.” flow.” Thetoo The tooll flow is usually a “script,” a simplified computer language that that can can invo invoke kethesoft thesoftwa ware re desi design gn tools tools in theright theright orde order. r. Tool Tool flows flows for large large logic logicsy syste stems ms such such as microprocessors can be thousands of commands long, and combine the work of hundreds of engineers. Writing and debugging tool flows is an established engineering specialty in companies that produce digital designs. The tool flow usually terminates in a detailed computer file or set of files that describe how to physically construct the logic. logic. Often it consists consists of instructions instructions to draw the transistors the transistors and and wires on an integrated circuit circuit or a printed circuit board. board . Parts of tool flows are “debugged” by verifying the outputs of simulated logic against against expected inputs. The test tools take computer files with sets of inputs and outputs, and highli highlight ght discrepa discrepanci ncies es between between the simulat simulated ed behavbehavior and the expected behavior. Once the input data is believed correct, the design itself must must still still be verifi verified ed for corre correct ctne ness. ss. Some tool tool flows flows ververify designs by first producing a design, and then scanning the design to produce compatible input data for the tool flow. If the scanned data matches the input data, then the tool flow has probably probably not introduced errors. The functional verification data are usually called “test vectors.” The functional test vectors may be preserved and used used in the factor actoryy to test test that that newl newlyy cons construc tructed ted logi logicc
works correctly. correctly. However, However, functional functional test patterns don't discover common fabrication faults. Production tests are often designed by software tools called "test " test pattern generators". erators ". These These generate generate test vectors vectors by examining examining the structure of the logic and systematically generating tests for for particular particular faul faults. ts. This way way the fault coverage can closely approach 100%, provided the design is properly made testable (see next section). Once a design exists, and is verified and testable, it often needs to be processed to be manufacturable as well. Modern integrated circuits have features smaller than the wavelength of the light used to expose the photoresist. Manufacturability software adds interference patterns to the exposure masks to eliminate open-circuits, and enhance the masks’ contrast.
16.4.3 16.4.3
Design Design for testab testabilit ility y
There There are seve several ral reaso reasons ns for testin testingg a logic logic circ circui uit.t. When When the circuit is first developed, it is necessary to verify that the design circuit meets the required required functional and timing specifications. specifications. When multiple copies copies of a correctly designed circuit are being manufactured, it is essential to test each copy to ensure that the manufacturing process has not introduced any flaws. [6] A large large logi logicc machin machinee (say, (say, with with more more than than a hundre hundredd loglogical variables) can have an astronomical number of possible states. Obviously, in the factory, testing every state is impractical if testing each state takes a microsecond, and there are more states than the number of microseconds since the universe began. Unfortunatel Unfortunately, y, this ridiculousridiculoussounding case is typical. Fortunately, large logic machines are almost always designed as assemblies assemblies of smaller smaller logic machines. To save time, the smaller sub-machines are isolated by permanently installed “design “design for test” circuitry, and are tested independently. One common test scheme known as “scan design” moves test bits serially (one after another) from external test equipment through one or more serial shift registers known as “scan chains”. chains”. Serial scans have have only one or two wires to carry the data, and minimize the physical size and expense of the infrequently used test logic. After all the test data bits are in place, the design is reconfigured configured to be be in “normal “normal mode” mode” and one one or more clock clock pulses are applied, to test for faults (e.g. stuck-at low or stuck-at high) and capture the test result into flip-flops and/or latches in the scan shift register(s). Finally, Finally, the result of the test is shifted out to the block boundary and compared against the predicted “good machine” result. In a board-test environment, environment, serial to parallel testing has been formalized with a standard called " JTAG JTAG"" (named after the “Joint Test Action Group” that proposed it). Another common testing scheme provides a test mode
130
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS
that forces some part of the logic machine to enter a “test Digital machines first became useful when the MTBF cycle.” The test cycle usually exercises large independent for for a switch switch got above above a few few hundred hours. hours. Even so, parts of the machine. many of these machines had complex, well-rehearsed repair procedures, and would be nonfunctional for hours because a tube burned-out, or a moth got stuck in a relay. relay. Modern transistorized integrated circuit logic gates have 16.4.4 16.4.4 Trad Trade-o e-off ffs MTBFs MTBFs greate greaterr than than 82 billi billion on hours hours (8.2×1 (8.2×100 10 ) hours hours,,[7] Several numbers determine the practicality of a system and need them because they have so many logic gates. of digital logic: cost, reliability, fanout fanout an andd speed. speed. Engineers explored numerous electronic devices to get an Fanout ideal combination of these traits. Fanou Fanoutt desc describ ribes es how how many many logic logicinp inputs uts can be contr controll olled ed by a single logic output without exceeding the current ratCost ings ings of the the gate gate..[8] The minim minimum um practi practica call fanout anout is about about five. Modern electronic logic using using CMOS CMOS transistors transistors for The cost of a logic gate is crucial. In the 1930s, the earliest digital logic systems were constructed from telephone switches have fanouts near fifty, and can sometimes go relays because these were inexpensive and relatively reli- much higher. able. able. After After that, enginee engineers rs always always used the cheape cheapest st availavailable electronic switches that could still fulfill the requireSpeed ments. The earliest integrated circuits were a happy accident. The“s The “swi witch tching ing speed speed”” desc describ ribes es how how many many times times per per secsecThey were constructed not to save money, but to save ond an inverter (an electronic representation of a “logical weight, and permit the Apollo Guidance Computer to not” function) can change from true to false and back. control an inertial an inertial guidance system for system for a spacecraft. The Faster logic can accomplish more operations in less time. first integrated circuit logic gates cost nearly $50 (in 1960 Digital logic first became useful when switching speeds dollars, when an engineer earned $10,000/year). To ev- got above fifty hertz fifty hertz,, because that was faster than a team eryone’s surprise, by the time the circuits were mass- of humans operating operating mechanical mechanical calculat calculators. ors. Modern Modern produced, they had become the least-expensive method electronic digital logic routinely switches at five gigahertz of constructing digital logic. Improvements in this tech- (5×109 hertz), and some laboratory systems switch at nology have driven all subsequent improvements in cost. more than a terahertz a terahertz (1×10 (1×1012 hertz). With the rise of integrated of integrated circuits, circuits, reducing the absolute number of chips used represented another way to save costs. The goal of a designer designer is not just to make the 16.4.5 16.4.5 Logic Logic families amilies simplest simplest circuit, but to keep the t he component count down. Sometimes this results in slightly more complicated de- Main article: logic article: logic family signs with respect to the underlying digital logic but nevertheless ertheless reduces the number of components, board size, Design started with relays with relays.. Relay logic was relative relatively ly inand even power consumption. expensive and reliable, but slow. Occasionally a mechanFor example, in some logic families, NAND gates are gates are the ical failure failure would would occur. Fanouts were typically typically about simplest digital gate to build. All other logical operations ten, limited by the resistance of the coils and arcing on can be implemented by NAND gates. If a circuit already the contacts from high voltages. required a single NAND gate, and a single chip normally Later, vacuum tubes were tubes were used. These were very fast, but carried four NAND gates, then the remaining gates could Later, vacuum be used to implement other logical operations like logical generated heat, and were unreliable because the filaments and.. This could and could eliminate eliminate the need for a separate separate chip would burn out. Fanouts were typically five to seven, limited by the heating from the tubes’ current. In the 1950s, containing those different different types of gates. special special “computer “ computer tubes” were developed with filaments that omitted volatile elements like silicon. silicon. These ran for hundreds of thousands of hours. Reliability The first first semiconductor logic logic family amily was resistor– The “reliability” of a logic gate describes its mean time transistor logic. logic. This was a thousand times more reliable between failure (MTBF). Digital machines often have than than tube tubes, s, ran ran cool cooler er,, and and used used less less powe power, r, but but had had a very very millions of logic gates. Also, most digital machines are low fan-in low fan-in of of three. Diode–transi Diode–transistor stor logic improved logic improved the “optimized “optimized”” to reduce reduce their their cost. The result result is that of- fanout up to about seven, and reduced the power. Some ten, the failure of a single logic gate will cause a digital DTL designs used two power-supplies with alternating machine to stop working. layers layers of NPN and PNP transistors transistors to increase increase the fanout. fanout.
131
16.7. REFERE REFERENCES NCES
Transistor–transistor logic Transistor–transistor logic (TTL) (TTL) was a great improveShannon • Claude E. Shannon ment ment over over these. these. In early devices devices,, fanout improve improvedd to • Sequential logic ten, and later variations reliably reliably achieved achieved twenty. TTL was also fast, with some variations achieving switching • Transparent latch times as low as twenty nanoseconds. nanoseconds. TTL is still used in some designs. • Unconventional computing Emitter coupled logic is logic is very fast but uses a lot of power. It was extensively used for high-performance computers made up of many medium-scale components (such as the 16.7 16.7 Ref Referen erence cess Illiac IV). IV). By far, the most common digital integrated circuits built [1] Null, Linda; Lobur, Julia (2006). The essentials of com puter organization organization and architecture architecture. Jones & Bartlett Pubtoday use CMOS use CMOS logic, logic, which is fast, offers high circuit lishers. p. 121. ISBN 121. ISBN 0-7637-3769-0. 0-7637-3769-0. We can build logic logic dens densityand ityand low-p low-pow ower er pergate. This This is used used even even in large, large, diagrams (which in turn lead to digital circuits) for any fast computers, such as the IBM the IBM System z. z. Boolean expression... expression...
16.5
Recen Recentt deve develop lopme ments nts
In 2009, researchers discovered that memristors memristors can can implement a boolean state storage (similar to a flip flop, flop, implication and implication and logical inversion logical inversion),), providing a complete logic family with very small amounts of space and power, using familiar CMOS semiconductor processes. [9] The discovery of superconductivity of superconductivity has has enabled the development velopment of rapid of rapid single flux quantum (RSFQ) quantum (RSFQ) circuit technology, which uses Josephson junctions instead of transisto transistors. rs. Most recentl recently, y, attempts attempts are being made to construct purely optical purely optical computing systems capable of processing digital information using nonlinear using nonlinear optical optical elements.
16.6 16 .6
See See also also
• Boolean algebra • Combinational logic • De Morgan’s laws • Digital signal processing • Formal verification • Hardware description language • Integrated circuit • Logic family • Logic gate minimization • Logic minimization • Logic simulation • Logical effort • Microelectronics • Ringing
[2] Paul Horowitz and Horowitz and Winfield Hill, The Art of Electronics 2nd Ed. Cambridge University Press, Cambridge, 1989 ISBN 0-521-37095-7 page 0-521-37095-7 page 471 [3] Maini. A.K. (2007). Digital Electronics Principals, Devices and Applications. Applications. Chichester, England.: Jonh Wiley & Sons Ltd. [4] “ASODA sync/async DLX Core”. Core”. OpenCores.org. Retrieved September 5, 2014. [5] Clarke, Peter. “ARM Offers First Clockless Processor Core”.. eetimes.com. UBM Tech (Universal Core” (Universal Business Media). Retrieved 5 September 2014. [6] Brown S & Vranesic Vranesic Z. (2009). (2009). Fundamentals of Digital Digital Logic with VHDL Design. 3rd ed. New York, N.Y.: Mc Graw Hill. [7] MIL-HDBK-217F notice 2, section section 5.3, for for 100,000 gate 0.8 micrometre CMOS commercial ICs at 40C; failure rates in 2010 are better, because line sizes have decreased to 0.045 micrometres, and fewer fewer off-chip connections are needed per gate. [8] Kleitz Kleitz , William. William. (2002). (2002). Digital Digital and Microp Microproces rocessor sor Fundamental Fundamentals: s: Theory Theory and Applica Application. tion. 4th ed. Upper Saddler Reviver, NJ: Pearson/Prentice Hall [9] Eero Lehtonen, Mika Laihom, Laihom, “Stateful “Stateful implication implication logic with memristors”, Proceedings of the 2009 IEEE/ACM Internatio International nal Symposium Symposium on Nanoscale Nanoscale Architectur Architectures es IEEE Computer Society Washington, DC, USA ©2009 Accessed 2011-12-11
• R. H. Katz, Contemporary Logic Design , The Benjamin/Cummings Publishing Publishing Company, 1994.
• P. K. Lala, Practical Digital Logic Design and Testing, Prentice Hall, 1996.
Electromag• Y. K. Chan and S. Y. Lim, Progress In Electromagnetics netics Researc Researchh B, Vol. Vol. 1, 269–290, 2008,"Syn2008,"Synthetic Aperture Radar (SAR) Signal Generation, Faculty Faculty of Engineering & Technology, Technology, Multimedia University, Jalan Ayer Keroh Lama, Bukit Beruang, Melaka 75450, Malaysia
132
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS
16.8 16.8
Exte Extern rnal al link linkss
• Lessons in Electric Circuits - Volume IV (Digital) • MIT OpenCourseWare introduction to digital de-
sign cla sign class ss mate material rialss (“6.00 (“6.004: 4: Comp Computati utation on Structures”)
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
16.9
Text Text and and image image sources, sources, contri contributor butors, s, and and licens licenses es
16.9 16.9.1 .1
Text
•
•
•
133
http://en.wikipedia.org/wiki/Electronic_circuit?oldid=660025244 Contributors: William Avery, Glenn, Reddi, Contributors: William Electronic circuit Source: http://en.wikipedia.org/wiki/Electronic_circuit?oldid=660025244
Omegatron, Jondel, DavidCary, Lyght, Velella, Wtshymanski, RainbowOfLight, Mindmatrix, Robert K S, Cbdorsett, Eras-mus, Plrk, Haikupoet, Cirfis, Gurch, Chobot, Spacepotato, Toffile, Stephenb, Stephenb, Canageek, TheMandarin, Grafen, Tyrenius, Allens, Snaxe920, Ozzmosis, SmackBot, SmackBot, Bigblue Bigbluefish, fish, Gilliam, Gilliam, Schfifty SchfiftyThree Three,, Rrburke, Rrburke, Edivorc Edivorce, e, Tim Q. Wells, Wells, RomanSpa, RomanSpa, 16@r, Dickl Dicklyon, yon,Hu12, Hu12, Shoeofd Shoeofdeath, eath, Ale jrb, Amalas, Snakemike, Snakemike, Nczempin, Circuit dreamer, Pewwer42, Cydebot, Odie5533, Alaibot, Michagal, Nick Number, Dawnseeker2000, Nicolaasuni, VoABot II, 28421u2232nfenfcenc, Allstarecho, M 3bdelqader, MartinBot, Axlq, Jim.henderson, J.delanoy, Pharaoh of the Wizards, Trusilver, Ram4nd, Danield101, Mellonbank, VolkovBot, Jeff G., Kakoui, Barneca, Philip Trueman, IlijaKovacevic, IlijaKovacevic, Anna Lincoln, Dendodge, Santacruzing, Aaron Rotenberg, Wikiisawesome, Wikiisawesome, Dsignoff, D signoff, Kalan, Kehrbykid, MrChupon, Fanatix, Audioamp, Ttony21, Masgatotkaca, Nancy, ClueBot, Tachasmo, Excirial, Azadeh.a, Burner0718, HD86, XLinkBot, Mitch Ames, Addbot, Tcncv, Gnetter, MrOllie, Redheylin, Cristinalee, Bassbonerocks, Shekure, Eagle999, Rjaf29, Teles, , Yobot, Fraggle81, SolBasic, Evans1982, Helena srilowa, Zohair.ahmad, AnomieBOT, Materialscientist, Promd33, Capricorn42, Armstrong1113149, Giggy12345, AbigailAbernathy, AbigailAbernathy, Anonymous from the 21st century, NotGiven, Amplitude101, Calmer Waters, Serols, DexDor, Slon02, John of Reading, Tommy2010, Bleakgadfly, Johnjosephc, Johnjosephc, Kilopi, Vladimirdx, Dshavit, ResearchRave, ClueBot NG, Satellizer, Ahmed.engr, Widr, Wbm1058, Naveenpn, Sotos68, Teepu Ahmad, AdventurousSquirrel, Robert Thyder, Ecsdiagram, Glacialfox, MathewTownsend, Batman11337, Lijogrg444, MadCowpoke, Webclient101, Epicgenius, Yamaha5, Jianhui67, Cricetone, TheQ Editor, Tatisimo, MrRedstone9000, KasparBot and Anonymous: 219 Heron, RTC, Electronic component component Source: http://en.wikipedia.org/wiki/Electronic_component?oldid=666307870 Contributors: Heron, Mahjongg, Reddi, David Shay, Omegatron, Chrisjj, Altenmann, Alan Liefting, Giftlite, Abdull, ArnoldReinhold, Closeapple, Alansohn, MarkGallag MarkGallagher, her, Caesura, Caesura, Wtshymans Wtshymanski, ki, Danhash, Danhash, Kusma, Kusma, Peter Peter Wöllau Wöllauer, er, Versage Versageek, ek, Sleigh, Sleigh, RHaworth, RHaworth, Cbdorsett, Cbdorsett, Mandarax, Mandarax, Graham87, Graham87, BD2412, JIP, RxS, Phillipedison1891, Ryan Norton, DavideAndrea, Routy, Chobot, Krishnavedala, DVdm, Bgwhite, YurikBot, Wavelength, Oliviosu~enwiki, Oliviosu~enwiki, RussBot, Toffile, Rsrikanth05, Proidiot, Jeff Carr, Jpbowen, SamuelRiv, Searchme, Light current, Mickpc, Tabby, Fernblatt, Paul Erik, Groyolo, robot, KnightRider~enwiki, SmackBot, Reedy, Lindosland, HenrikS, Oli Filth, Astaroth5, DHNbot~enwiki, Frap, Indiedude, Rigadoun, Oasiselec, Scetoaux, 16@r, Dicklyon, TerryKing, Zarex, Circuit dreamer, Dgw, AndrewHowse, A876, Editor at Large, Hithisishal, Thijs!bot, Thijs!bot, Dougsim, Smile a While, Siawase, Leon7, RichardVeryard, RichardVeryard, MER-C, Arch dude, VoABot II, Swpb, Thunderhead~enwiki, Thunderhead~enwiki, Seba5618, R'n'B, Pharaoh of the Wizards, Gregfitzy, ARTE, Funandtrvl, VolkovBot, VolkovBot, Philip Trueman, TXiKiBoT, Rei-bot, Lordvolton, Lordvolton, Monaco377, Wolfrock, Wolfrock, Spinningspark, Alex h leclerc, Otxi, BotMultichill, PbBot, Dp67, ClueBot, PipepBot, Rparts, Cp111, Mild Bill Hiccup, DragonBot, DragonBot, LeoFrank, Excirial, Excirial, PixelBot, PixelBot, Elcap, Apparition11, Apparition11, Crazy Boris with a red beard, BodhisattvaBot, Interferometrist, Interferometrist, Addbot, Mortense, Fgnievinski, Fgnievinski, AkhtaBot, Fieldday-sunday, Fieldday-sunday, Prxbl, 5 albert square, , , OffsBlink, Tide rolls, Hoenny, Teles, Luckas-bot, Yobot, Ptbotgourou, Grebaldar, FUZxxl, KDS4444, DemocraticLuntz, RBM 72, Materialscientist, Xqbot, Armstrong1113149, Mlpearc, Prunesquale Prunesqualer, r, RibotBOT, Amaury, IShadowed, IShadowed, FrescoBot, Rjwiki09, Rjwiki09, Pshent, Pshent, Pinethicket, Pinethicket, I dream of horses, LittleWink, Efalkow, FoxBot, , Gauravpolekar, Banhtrung1, Javierito92, Dinamik-bot, Arcadiy, Nyxaus, EmausBot, John of Reading, 8v26901, K6ka, Lucas Thoms, Wemskills2016, Wemskills2016, Dffgd, AvicAWB, AvicAWB, Bamyers99, Wikitürk W ikitürkçe, çe, Staszek Lem, Sethupathy3e, L Kensington, Gwen-chan, ClueBot NG, Loew Galitz, O.Koslowski, Cybernew, Widr, Vortex112, Wbm1058, Jeraphine Gryphon, RAKESH BALAN, YFdyh-bot, MrDKing, SujithKJ, Frosty, Kevin12xd, Vahid alpha, Vanamonde93, Rakomwolvesbane, Tentinator, 1Source23, Falcogna, Stub Mandrel, Sarr X, Prabhjotcheema, Prabhjotcheema, Jianhui67, 99kmg365, Hoy H oy smallfry, Tjrjehfhffh, Tjrjehfhffh, Leadingic, Loloyrty, BacLuong, Samran234, Nc4sb8, KasparBot and Anonymous: 207 Resistor Source: http://en.wikipedia.org/wiki/Resistor?oldid=665622776 http://en.wikipedia.org/wiki/Resistor?oldid=665622776 Contributors: AxelBoldt, AxelBoldt, Ap, Css, Andre Engels, Christian List, Aldie, Matusz, Ray Van De Walker, SimonP, Waveguy, Heron, Patrick, RTC, Tim Starling, Mahjongg, Liftarn, Karada, Delirium, Alfio, CesarB, Ahoerstemeier, Cyp, Theresa knott, Darkwind, Glenn, Bogdangiusca, Nikai, Eszett, Timwi, Reddi, Zoicon5, Omegatron, Ed g2s, Wilbern Cobb~enwiki, Chrisjj, Robbot, Hankwang, Tonsofpcs, Jredmond, Smither, Romanm, PxT, Ojigiri~enwiki, Robinh, Xanzzibar, Cyrius, Pengo, Alan Liefting, Giftlite, Everyking, Perl, Markus Kuhn, Leonard G., Joe Sewell, Brockert, Bobblewik, Tagishsimon, Supachikn, StuartH, Chowbok, Antandrus, Mako098765, DragonflySixtyseven, Glogger, Gauss, Icairns, GeoGreg, Vishahu, TobinFricke, Ojw, Ojw, Abdull, Discospinster, Rich Farmbrough, ArnoldReinhold, ArnoldReinhold, Alistair1978, Quistnix, Pavel Vozenilek, Vozenilek, Paul August, ESkog, Plugwash, Patrickov, El C, Jd waverly, Haxwell, Art LaPella, RoyBoy, Bobo192, NetBot, AnyFile, Harald Hansen, Smalljim, Matt Britt, Colin Douglas Howell, Jojit fb, Kjkolb, Nk, Larry V, Sam Korn, Haham hanuka, Hooperbloob, Nsaa, Ranveig, Red Winged Duck, Alansohn, Jic, Atlant, M7, Zippanova, Comrade009, Theodore Kloba, Wdfarmer, Wdfarmer, Snowolf, Velella, Wtshymanski, RainbowOfLight, RainbowOfLight, Shoefly, Kusma, Carlos Quesada, DV8 2XL, Gene Nygaard, Kinema, HenryLi, Nilloc, Unixxx, Begemotv2718~enwiki, Jeffrey Jeffrey O. Gustafson, Woohookitty, Woohookitty, Mindmatrix, Pol098, Joels341, Stixpjr, Frankie1969, CPES, Gimboid13, PeregrineAY, FreplySpang, Rjwilmsi, Seidenstud, George Burgess, RobertDahlstrom, SeanMack, Brighterorange, Bratch, Yamamoto Ichiro, Fish and karate, FuelWagon, FlaBot, Jeepo~enwiki, EPAstor, Shultzc, EmielMols, Alfred Centauri, Lmatt, Zotel, King of Hearts, Jidan, Chobot, Antilived, Krishnavedala, Bgwhite, DerrickOswald, YurikBot, Wavelength, RobotE, Jimp, Phantomsteve, Spudbeach, JabberWok, Ukdragon37, Hydrargyrum, Stephenb, Shaddack, Guiltyspark343, Shanel, SEWilcoBot, Borbrav, Srinivasasha, RazorICE, InvaderJim42, Mikeblas, DeadEyeArrow, Jeh, Blowdart, Oliverdl, SamuelRiv, Searchme, Richardcavell, FF2010, Uwezi, Light current, 21655, Phgao, Tabby, Nkendrick, DGaw, Mike1024, Allens, JSC ltd, Snaxe920, GrinBot~enwiki, Jknacnud, Sbyrnes321, Teo64x, Neier, KnightRider~enwiki, KnightRider~enwiki, AtomCrusher, AtomCrusher, SmackBot, Tarret, Bggoldie~enwiki, Unyoyega, Thorseth, Cessator, Ohnoitsjamie, Hugo-cs, Lindosland, Chris the speller, Michbich, Oblemboy, CrookedAsterisk, Sirex98, Thumperward, Oli Filth, Papa November, Astaroth5, Ruffelo, Audriusa, Ian Burnet~enwiki, Riflemann, Can't sleep, clown will eat me, Милан Јелисавчић, TheGerm, Ateş~enwiki, Geekboy72, JonHarder, VMS Mosaic, RedHillian, DinosaursLoveExistence, CanDo, Yrral, M jurrens, DMacks, N Shar, Where, Mion, FelisLeo, Ohconfucius, SashatoBot, KLLvr283, Laogeodritt, Dicklyon, Mets501, EdC~enwiki, Quodfui, Matthew Kornya, Pi, Daniel5127, Atomobot, Atomobot, Electron20, Jpeguero, Sir Vicious, Ilikefood, Ilikefood, Zureks, Pottsy123, Shoez, Jcoffland, W1tgf, Gatorosa, Requestion, MrFish, Kar403, Karimarie, Mblumber, Nbound, Altaphon, Meno25, Gogo Dodo, Tawkerbot4, DumbBOT, Viridae, Omicronpersei8, Ebraminio, Cinderblock63, Cinderblock63, Ozguy89, Serych, Epbr123, Mojo Mojo Hand, John254, J ohn254, Neilajh, Neilajh, Gerry Ashton, Leon7, Ajo Mama, Matty!, Ketter~enwiki, AntiVandalBot, Edokter, Dinferno, Spencer, Spartaz, Golgofrinchian, Golgofrinchian, Deadbeef, JAnDbot, Pp0u016d, MER-C, Jddriessen, CosineKitty, CosineKitty, John a s, Edwin ok, Magioladitis, VoABot VoABot II, JamesBWatson, Snthakur, Nikevich, Nikevich, Schily, Aka042, Carlsonmark, Catgut, Daarznieks, Virtlink, Americanhero, Allstarecho, Allstarecho, User A1, Vssun, Calltech, Wderousse, Outlook, Dantman, DancingPenguin, MartinBot, Raymondyo, Sigmundg, Rettetast, Jonathan Hall, Nono64, GrahamDavies, Sephers, LedgendGamer, Tgeairn, J.delanoy, Pharaoh of the Wizards, Peter Chastain, Dispenser, DarkFalls, Slrdtm, Falcon866, NewEnglandYankee, Suckindiesel, Suckindiesel, Sciport, Guitarlesson, Cmichael, 2help, Cometstyles, WJBscribe, Tchoutka, Uhai, Magatouche, Gaurav joseph, Scwerllguy, Useight, David.lecomte, David.lecomte, Xiahou, Xiahou, Squids and Chips, Funandtrvl, Deor, VolkovBot, VolkovBot, Lordmontu, Lordmontu, Asnr 6, Jeff G., JohnBlackburne, JohnBlackburne, Holme053,
134
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS Constant314, EchoBravo, Alberon, Philip Trueman, TXiKiBoT, Qureus1, Hqb, Lordvolton, Retiono Virginian, Anna Lincoln, D endodge, Zolot, LeaveSleaves, LeaveSleaves, DarkFuture, PDFbot, PD Fbot, Inductiveload, Inductiveload, Dragon587, Enigmaman, Sarc37, Wolfrock, Wolfrock, SQL, Synthebot, Jason Leach, Enviroboy, Davidvanee, Spinningspark, Atreusk, Atreusk, Cindamuse, AlleborgoBot, AHMartin, Kbrose, JDHeinzmann, SieBot, Scarian, Gerakibot, Viskonsas, Matthew Yeager, Lucasbfrbot, Yintan, Msadaghd, Crm123, VampireBaru, Hoagg, Bentogoa, A. Carty, Xxrambo, Rocknrollsuicide, Poindexter Propellerhead, Lazyfishnet, IdreamofJeanie, Kudret abi, Ge0rge359, StaticGull, Capitalismojo, Unique ragazzo, Dijhammond, Felizdenovo, Felizdenovo, Precious Roy, Denisarona, Beemer69, Tuntable, Loren.wilton, De72863 1, ClueBot, Binksternet, GorillaWarfare, GorillaWarfare, Khaleghian, CarolSpears, The Thing That Should Not Be, Ggia, AerospaceEngr, Mild Bill Hiccup, Edlerk, Thegeneralguy, MomentofinerMomentofinertia, Excirial, Jusdafax, TonyBallioni, Hardkrash, Arjayay, Wstorr, Aitias, Zootboy, Versus22, Moonlit Knight, Berean Hunter, Ginbot86, DumZiBoT, XLinkBot, Kyz 97, MarvinPelfer, Rror, Interferometrist, Interferometrist, Skarebo, Madmike159, Udt-21, Karpouzi, Iranway, Mojska, Mojska, Owl order, Nikhilb239, Addbot, Cxz111, Mortense, Bboe, Jojhutton, Fyrael, Olli Niemitalo, Metsavend, CanadianLinuxUser, CanadianLinuxUser, Cst17, Download, Roux, Favonian, 5 albert square, Delphi234, Bavgang123, Tide rolls, MuZemike, Luckas-bot, Nunikasi, Yobot, Fraggle81, II MusLiM HyBRiD II, Amirobot, MadMan2021, Omaga99, THEN WHO WAS PHONE?, Mattia Luigi Nappi, KamikazeBot, Backtothemacman32, Eric-Wester, Tempodivalse, CHUCKisGOD, Aliens are fun!!!!!, AnomieBOT, KDS4444, Quangbao, Ravikant nit, Jim1138, Hat'nCoat, Piano non troppo, RBM 72, Aditya, Realgigabyte, Materialscientist, 4441gh, Citation bot, Tristantech, Felyza, Frankenpuppy, Neurolysis, Xqbot, Iadrian yu, Capricorn42, Miracleworker5263, Minnijazzyjade, Whipple11, Yoconst, GrouchoBot, Nedim Ardoğa, Sophus Bie, Shadowjams, Dakane2, Depictionimage, Prari, FrescoBot, Chugachuga, Furshur, Paco1976, Ercegovac~enwiki, Kenny.Yang, BenzolBot, Rjwiki09, Oalp1003, Pinethicket, I dream of horses, Boulaur, , Rambo111, Nikey101, Salvidrim!, Lineslarge, Merlion444, December21st2012Freak, Jauhienij, Jauhienij, Utility Monster, Abc518, Double sharp, , SchreyP, Uriburu, Vrenator, Reaper Eternal, Seahorseruler, Nascar1996, Minimac, DARTH SIDIOUS 2, Mean as custard, Skamecrazy123, EmausBot, Racerx11, GoingBatty, Hossammandour, Challisrussia, Wikipelli, Fæ, Lindseyrose, Trinidade, 1234r00t, H3llBot, EWikist, BrianSfinasSSI, Tolly4bolly, Sbmeirow, Tomásdearg92, Etugam, Anonimski, Autoerrant, Carmichael, Cupaxtai, Itaharesay, RockMagnetist, Maminov2, Capgunslinger, ClueBot NG, Smtchahal, Matthiaspaul, Lanthanum-138, Frietjes, Jakuzem, 10v1walsha, Widr, Vortex112, Karthik262399, Sameenahmedkhan, Helpful Helpful Pixie Bot, Minderbart1, Pliu88, Wbm1058, Lowercase Lowercase sigmabot, AntonioSajonia, AntonioSajonia, Piguy101, Yowanvista, Alexey Alexey Villarreal, Dave 3740, Tsopatsopa, Glacialfox, Glacialfox, Chip123456, Funfun2333, ChrisGualtieri, GoShow, Embrittled, Chromastone1998, Chromastone1998, Raptormega123, Mediran, Khazar2, Dexbot, Oldschool1970, Zikri hidayat, Lugia2453, MWikiOrg, Orlin.tel, Ajay.loveland.jr, Pdecalculus, Binarysequence, Eyesnor Eyesnore, e, Tentinat Tentinator, or, Auburnat Auburnate, e, John Blair76, Blair76, Peter Peter Sendtown Sendtown,, Tanujkumar Tanujkumarpande pandey, y, Buntybhai, Buntybhai, Ginsulo Ginsuloft, ft,72dod 72dodgerpr gerpress, ess, Y13bakerm, Y13bakerm, JaconaFrere, Cricetone, Cricetone, JREling1, BatManFascination, BatManFascination, JaunJimenez, Trackteur, Owais Khursheed, Nodleh, Jelabon123, Qdavis, Akhil.A.L, Masteerr, Gouravd, JoJMasterRace, JoJMastarRace, Pitchcapper, Pitchcapper, Sytgod, Infinite0694, KasparBot, Soyungeniodelavida Soyungeniodelavida and Anonymous: 866
•
Contributors: Mav, Transistor Source: http://en.wikipedia.org/wiki/Transistor?oldid=666747838 Contributors:
The Anome, Taw, Rjstott, Jkominek, Sandos, Youssefsan, Youssefsan, RAD~enwiki, Little guru, Mudlock, Ray Van De Walker, Walker, SimonP, Maury Markowitz, Markowitz, Ellmist, Gbraad, Heron, RTC, JohnOwens, Michael Hardy, Tim Starling, Cprompt, Mahjongg, Nixdorf, Ixfd64, Ahoerstemeier, Cyp, ZoeB, Stevenj, Suisui, Iammaxus, Александър, Kaeslin, Julesd, Glenn, Bogdangiusca, Cyan, Nikai, Tristanb, Jiang, Lommer, HolIgor, Wikiborg, Reddi, Stone, Dfeuer, Dfeuer, Andrewman327, Gutza, Zoicon5, PeterGrecian, PeterGrecian, Timc, Tpbradbury, Marshman, Maximus Rex, Grendelkhan, Omegatron, ReciprocityPro ReciprocityProject, ject, Thue, Stormie, Bloodshedder, Raul654, Dpbsmith, Flockmeal, Ldo, Phil Boswell, Maheshkale, Robbot, Pigsonthewing, Jakohn, Owain, Fredrik, Pjedicke, Babbage, Jondel, Bkell, Hadal, UtherSRG, Galexander, Jleedev, Alan Liefting, David Gerard, Enochlau, Wjbeaty, Ancheta Wis, Giftlite, Graeme Bartlett, DavidCary, Mat-C, Ferkelparade, Ferkelparade, Brian Kendig, COMPATT, Fleminra, Capitalistroadster, Capitalistroadster, Dratman, Chowbok, Gadfium, Plutor, Sonjaaa, Antandrus, Mako098765, Jossi, Untifler, Avihu, Dcandeto, Qdr, Jimaginator, Mike Rosoft, Vesta~enwiki, Vesta~enwiki, Mindspillage, Zed~enwiki, Discospinster, Discospinster, Rich Farmbrough, Rhobite, Rmalloy, Pjacobi, Pjacobi, ArnoldReinhold, Xezbeth, Mani1, Dmeranda, Dyl, Kbh3rd, Klenje, Plugwash, Jindrich, Srivatsaaithal, CanisRufus, Sfahey, Sfahey, El C, Lankiveil, Barfooz, Sietse Snel, Neilrieck, Neilrieck, Spoon!, Bobo192, Smalljim, EricBarbour, R. S. Shaw, Elipongo, Matt Britt, Mikel Ward, Jojit fb, Kjkolb, Wikinaut, DanB~enwiki, Haham hanuka, Hooperbloob, Nsaa, Nazli, Alansohn, Orimosenzon, Jared81, Interiot, Eric Kvaalen, Barium, Atlant, WTGDMan1986, Ashley Pomeroy, Mr snarf, Brinkost, Snowolf, Blobglob, Oneliner, Wtshymanski, Knowledge Seeker, Cburnett, Suruena, Cal 1234, TenOfAllTrades, DV8 2XL, Gene Nygaard, MIT Trekkie, Redvers, TheCoffee, Ahseaton, HenryLi, Flying fish, Begemotv2718~enwiki, Veemonkamiya, Polyparadigm, Matijap, MONGO, Pyrosim, Cbdorsett, Eyreland, Bar0n, Zzyzx11, CPES, Palica, Msiddalingaiah, Graham87, Magister Mathematicae, Haikupoet, Snafflekid, Coneslayer, JVz, Mjm1964, Bernard van der Wees, Tangotango, Colin Hill, Vegaswikian, DonSiano, Ligulem, LjL, Rbeas, Yamamoto Ichiro, FlaBot, Naraht, Arnero, Ysangkok, Nihiltres, AJR, Gparker, RexNL, Gurch, DavideAndrea, RobyWayne, Alvin-cs, Kri, JonathanFreed, Jidan, Chobot, Krishnavedala, DVdm, Cornellrockey, Bubbachuck, YurikBot, Wavelength, Wavelength, Marginoferror, Marginoferror, Hairy Dude, Jimp, SpuriousQ, Stephenb, Gaius Cornelius, Yyy, Shaddack, Brejc8, Brejc8, Pseudomonas, NawlinWiki, Rohitbd, ONEder Boy, RazorICE, Jpbowen, Speedevil, Scs, Misza13, Scottfisher, Gadget850, DeadEyeArrow, Bota47, Jeh, Searchme, Light current, 21655, Ninly, Theda, Closedmouth, Arthur R ubin, Vdegroot, Vdegroot, Cronostvg, Emc2, Wbrameld, Katieh5584, Kungfuadam, GrinBot~enwiki, Zvika, ModernGeek, Elliskev, That Guy, From That Show!, Minnesota1, Attilios, Siker, SmackBot, YellowMonkey, RockMaestro, Dovo, Reedy, Thorseth, Delldot, StephenJMuir, Unforgettable Unforgettableid, id, Magwich77, Gilliam, Simoxxx, Andy M. Wang, Lindosland, QEDquid, Master Jay, Avin, @modi, Thumperward, Oli Filth, EncMstr, Papa November, SEIBasaurus, DHN-bot~enwiki, Squibman, Audriusa, WDGraham, Foogod, HeKeRnd, Can't sleep, clown will eat me, Writtenright, Writtenright, Sephiroth BCR, KaiserbBot, Lantrix, Yidisher Yidisheryid, yid, Rrburke Rrburke,, VMS Mosaic, Mosaic, Chcknwnm Chcknwnm,, Nakon, Nakon, Valenc Valenciano iano,, Michael MichaelBilli Billington ngton,, BWDuncan, BWDuncan, Repairsci Repairscircu rcuitbo itboards, ards, Jklin, Jklin, DMacks, DMacks, Rspanton, Ligulembot, Ohconfucius, The undertow, SashatoBot, Kuru, NeilUK, Danorux, Lazylaces, Evenios, JorisvS, Scetoaux, IronGargoyle, CyrilB, Loadmaster, MarkSutton, Slakr, Optimale, George The Dragon, Rogerbrent, Dicklyon, Waggers, Mets501, EEPROM Eagle, Softice6~enwiki, Caiaffa, Tsolosmi, Kvng, KJS77, Cmcginnis, Iridescent, Drlegendre, Yves-Laurent, Paul Foxworthy, DarkCell, Aeons, IanOfNorwich, Tawkerbot2, Daniel5127, G-W, Chetvorno, Elekas, Compy 386, David Carron, ThisIsMyUsername, CmdrObot, Irwangatot, Chrumps, Ilikefood, Ilikefood, JohnCD, Rohan2kool, R ohan2kool, Zureks, Old Guard, Casper2k3, Cydebot, Verdy p, Tawkerbot4, Tawkerbot4, DumbBOT, Editor at Large, Splateagle, Charlvn, Malleus Fatuorum, 6pence, Jessemonroy650, Epbr123, Pcu123456789, Headbomb, Electron9, Gerry Ashton, Nezzadar, Leon7, CboneG5, Natalie Erin, Escarbot, AntiVandalBot, Luna Santin, Firespray, EarthPerson, Scientific American, RapidR, Dvandersluis, Farosdaughter, Rico402, JAnDbot, Xhienne, Dan D. Ric, Em3ryguy, Harryzilber, MER-C, CosineKitty, Ericoides, Dagnabit, Britcom, Dricherby, Dricherby, Snowolfd4, Snowolfd4, PhilKnight, Denimadept, Acroterion, I80and, Bongwarrior, VoABot II, Verkhovensky, Verkhovensky, BigChicken, Robcotton, Schily, Sub40Hz, Bleh999, Allstarecho, Canyouhearmenow, Clipjoint, Matt B., Species8471, Cocytus, Gjd001, VMMK, MartinBot, Chrismon, Tamer ih~enwiki, DatasheetArchive, Compnerd09, Kostisl, R'n'B, Galootius, Esolbiz, LedgendGamer, Transisto, J.delanoy, Hans Dunkelberg, ChrisfromHouston, ChrisfromHouston, Uncle Dick, Kevin aylward, Ginsengbomb, Ginsengbomb, Darth Da rth Mike, Rod57, Rod57 , Dfries, Tarotcards, SJP, Bigdumbdinosaur, Mermadak, Imchandan, KylieTastic, Jamesontai, Zuban42, Hmsbeagle, Ale2006, JonS117, Idioma-bot, Reelrt, IFly, Chinneeb, King Lopez, VolkovBot, TreasuryTag, ABF, HeckXX, Constant314, Ryan032, Philip Trueman, TXiKiBoT, Jomasecu, The Original Wildbear, Davehi1, FDominec, Rei-bot, Axonn77, Soldior60, CanOfWorms, Supertask, LeaveSleaves, Wickedclown29, Saturn star, Cameronled, Hellcat fighter, Randers1, Enviroboy, RaseaC, Spinningspark, Bucko1992, Northfox, Symane, Jimmi Hugh, Logan, Kbrose, Anirak1337, Area51david, SieBot, Dwandelt, Tescoid, WereSpielChequers, Jonnic1, Toghome, Vanished User
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
135
8a9b4725f8376, Chmyr, Guillermo90r, Jayzor123, Oda Mari, Davidperson, Lightmouse, Poindexter Propellerhead, Boots232, Apsrobillo, Bludude90210, GAMER 20999, Alf loves chocolate, chocolate, Nibol, Dolphin51, D olphin51, Denisarona, CodyARay, C0nanPayne, Asher196, Explicit, Loren.wilton, Martarius, ClueBot, The Thing That Should Not Be, Rodhullandemu, Pakaraki, Mattgirling, Garyzx, Mild Bill Hiccup, Boing! said Zebedee, Blanchardb, Urb4nn1nj4, Puchiko, 718 Bot, Masterpiece2000, Masoud691, Habibi 66, Mahya42, Kurdestan, Morristanenbaum, ristanenbaum, Faranak moradipoor, Rahmaty, PixelBot, Pmronchi, Conical Johnson, Geniusinfranceman, Geniusinfranceman, RedSHIFT, Sun Creator, Brews ohare, Rakins007, Tayyabarif, 07mahmooda, Dekisugi, The Red, Carriearchdale, ChrisHodgesUK, Chaosdruid, Thingg, Wstorr, Aitias, NorthernNerd, SoxBot III, Therealmorris, Rtellason, DumZiBoT, Dorit82, Bearsona, Delt01, XLinkBot, Spitfire, WikHead, Noctibus, Drm5555, Kbdankbot, Addbot, Pyfan, DOI bot, Captain-tucker, Ronhjones, Ronhjones, Fieldday-sunday, CanadianLinuxUser, Fluffernutter, Fluffernutter, SpillingBot, MrOllie, MrOllie, Mentisoc Mentisock, k, Download, Download, Glane23, Glane23, Favoni Favonian, an, 84user, 84user, Numbo3-bot, Numbo3-bot, Semiwiki, Semiwiki, Lightbot, Lightbot, Hhcox, Hhcox, Zorrobot, Zorrobot, Jackelfi Jackelfive, ve, PlankBot, PlankBot, Luckas-bot, OrgasGirl, JSimmonz, Ptbotgourou, Ptbotgourou, Fraggle81, Cc2po, Crispmuncher, DJ LoPaTa, THEN WHO WAS WAS PHONE?, , , Mike1975, TestEditBot, Commissar Mo, OregonD00d, AnomieBOT, Efa, Captain Quirk, Ulric1313, F lewis, LiuyuanChen, Materialscientist, RobertEves92, Citation bot, Carlsotr, Carl086, Frankenpuppy, Xqbot, Hammack, Capricorn42, Nokkosukko, Magnus0re, GrouchoBot, Nedim Ardoğa, Yoganate79, Der Falke, Maitchy, Henk.muller, Richard BB, Shadowjams, David Nemati, Mike Dill~enwiki, A. di M., Some standardized rigour, Forrest575757, Prari, FrescoBot, Tobby72, Tiramisoo, Lonaowna, Jc3s5h, Dooley3956, Hébus, Firq, Dman223, Roman12345, E1m1o1, Citation bot 1, Kient123, AstaBOTh15, Pinethicket, Spidey104, Quantumsilverfish, RedBot, SpaceFlight89, Jamesinderbyshire, Jamesinderbyshire, Mikespedia, Mikespedia, Tcnuk, Tyler-willard, Bgpaulus, Lissajous, Lissajous, Circuitsmith, Circuitsmith, Sensitivo, Georgemalliaras, TobeBot, Surendhar Murugan, MarkGT, Privatise, Michael9422, Dinamik-bot, Vrenator, Thomaskutty, Stephen2zidang, Tbhotch, DARTH SIDIOUS 2, SSgator15, DASHBot, EmausBot, John of Reading, Jovianconflict, Immunize, Beatnik8983, RA0808, Mchaiiann, Olof nord, Smappy, AG SILVER92, Tommy2010, REMspectrum, Freetall, Serketan, Markk01, Joao.pimentel.ferr Joao.pimentel.ferreira, eira, Mkratz, MigueldelosSantos, MigueldelosSantos, Rails, 2n3055, Sbmeirow, Sbmeirow, L Kensington, Kensington, Anonimski, ChuispastonBot, RockMagnetist, LeAwesome0001, TYelliot, TYelliot, 28bot, Profurp, Profurp, ClueBot NG, Wikivinyl, Wikivinyl, Dratini0, Wikishotaro, Vergamieste Vergamiester, r, Akjahid, Akjahid, Kjece, Kjece, Vishal.vnair, Helpful HelpfulPixie Pixie Bot, J caraball, Tejasvi.ts, Tejasvi.ts, Wbm1058, Lowercase sigmabot, BG19bot, Zzyxzaa26, Vagobot, ISTB351, Mondeepsaikia, PearlSt82, Tritomex, Tiscando, Colin5555, Vivek7de, Anamatsu, Sunshine Warrior04, Bluefire272, Klilidiplomus, Simeondahl, Dinesh.lei, Hebert Peró, Chromastone1998, Miguelmadruga, Khazar2, EuroCarGT, JYBot, Dexbot, Havabighed, Dhanmantee, Jochen Burghardt, YaganZ, Vahid alpha, I am One of Many, Joeymank, Sanya7901, Historianbuff, DavidLeighEllis, Wamiq, Babitaarora, Ugog Nizdast, Wordpressstar, Deedmonds, Gokul.gk7, Mh akbarpour, Pri88yank, Monkbot, BatManFascination, JaunJimenez, JaunJimenez, DaveeBlahBlah, Dsernst, Scipsycho, Bigol77, KasparBot and Anonymous: Anonymous: 1138 •
http://en.wikipedia.org/wiki/Capacitor?oldid=666960471 Contributors: AxelBoldt, Sodium, Bryan Derksen, Zundark, Contributors: AxelBoldt, Capacitor Source: http://en.wikipedia.org/wiki/Capacitor?oldid=666960471
Ap, Andre Engels, Fredbauder, Aldie, PierreAbbat, Ray Van De Walker, Merphant, Waveguy, Heron, Patrick, Tim Starling, Chan siuman, Modster, Dominus, Tjfulopp, Tjfulopp, Lousyd, Kku, Ixfd64, Ahoerstemeier, Ahoerstemeier, Mac, Stevenj, Stevenj, Muriel Gottrop~enwiki, Theresa knott, Darkwind, Glenn, Bogdangiusca, Nikai, BAxelrod, Smack, Schneelocke, HolIgor, Timwi, Bemoeial, Wikiborg, Reddi, Denni, Sertrel, Maximus Rex, Furrykef, Populus, Populus, Omegatron, Phoebe, Thue, Francs2000, Phil Boswell, Rogper~enwiki, Nufy8, Robbot, Hubertus~enwiki, Naddy, Modulatum, Texture, Gidonb, Jondel, Intangir, Jleedev, Rik G., Wjbeaty, Giftlite, Giftlite, DavidCary, Wolfkeeper, Wolfkeeper, Netoholic, Tom harrison, Tubular, Everyking, CyborgTosser, Niteowlneils, Leonard G., Starsong, Guanaco, Yekrats, Mboverload, Pascal666, Solipsist, Foobar, Edcolins, StuartH, SebastianBreier~enwiki, Geni, Gzuckier, Mako098765, MisfitToys, Am088, ShakataGaNai, Jossi, Hutschi, Anythingyouwant, Icairns, Gscshoyru, Urhixidur, Urhixidur, Shen, Joyous!, Sonett72, Deglr6328, Xspartachris, Grunt, Gazpacho, Fpga, Ralph Corderoy, NathanHurst, Discospinster, Guanabot, ArnoldReinhold, Flatline, ZeroOne, Kjoonlee, FrankCostanza, Rmcii, Sietse Snel, RoyBoy, Euyyn, Mickeymousechen~enwiki, mousechen~enwiki, Jevinsweval, Jevinsweval, Sole Soul, Bobo192, Shenme, Slicky, Bert Hickman, Kjkolb, Kjkolb, Tgabor, Hagerman, Pearle, Hooperbloob, Jakew, Jumbuck, Neonumbers, Atlant, Mac Davis, Wdfarmer, Snowolf, Velella, CaseInPoint, Wtshymanski, Suruena, TenOfAllTrades, LFaraone, DV8 2XL, Gene Nygaard, Alai, Mattbrundage, HenryLi, Kenyon, Saeed, Robin F., Woohookitty, Poppafuze, Mindmatrix, RHaworth, StradivariusT StradivariusTV, V, Robert K S, Pol098, Tylerni7, Tylerni7, Rtdrury, Rtdrury, Gyanprakash, Gyanprakash, SCEhardt, Eyreland, SDC, Frankie1969, Wayward, Wayward, PfalPfalstad, Msiddalingaiah, Graham87, Crocodealer, Crocodealer, FreplySpang, Snafflekid, Edison, Josh Parris, Sjakkalle, Sjakkalle, Rjwilmsi, Zbxgscqf, Tangotango, Tawker, Vegaswikian, SeanMack, FlavrSavr, Thedatastream, FlaBot, Bobstay, Arnero, Shultzc, Jak123, Nivix, Alfred Centauri, Alex Sims, RexNL, Gurch, Czar, Pewahl, Fosnez, Fresheneesz, Fct, Chobot, Krishnavedala, DVdm, YurikBot, Wavelength, Wavelength, Jimp, Adam1213, RussBot, Gokselgoksel, Crazytales, Red Slash, Hydrargyrum, Akamad, Stephenb, Yyy, Shaddack, Wiki alf, Spike Wilbury, Howcheng, Sangwine, CecilWard, Mikeblas, RUL3R, E2mb0t~enwiki, Zzzzzzus, Ospalh, Syrthiss, Scottfisher, DeadEyeArrow, Bota47, Jeh, Supspirit, Dingy, Zelikazi, Smaines, Kev Boy, Wknight94, SamuelRiv, Searchme, Light current, Huangcjz, Knotnic, Tabby, Canley, Fergofrog, Fergofrog, LeonardoRob0t, Naught101, JLaTondre, Enkauston, GrinBot~enwiki, Dkasak, Mejor Los Indios, Lunch, Sbyrnes321, Jimerb, Veinor, SmackBot, Amcbride, FunnyYetTasty, Steve carlson, Tarret, Pgk, Thorseth, Freestyle~enwiki, Freestyle~enwiki, Blue520, BMunage, Jbleecker, Eskimbot, Pedrose, Edgar181, Genisock, Relaxing, Gilliam, Skizzik, RHCD, Lindosland, Quinsareth, Persian Poet Gal, Oli Filth, Pylori, OrangeDog, Papa November, Epastore, Terraguy, Dual Freq, A. B., Langbein Rise, Bread2u, Theneokid, Rheostatik, MKB, Can't sleep, clown will eat me, Милан Јелисавчић, JonHarder, Addshore, SundarBot, Mugaliens, Cyhatch, Fuhghettaboutit, Fuhghettaboutit, Radagast83, S Roper, Dreadstar, M jurrens, Minipie8, DMacks, Kotjze, Kotjze, Sadi Carnot, Kukini, Fjjf, Fjjf, DJIndica, Nmnogueira, SashatoBot, Harryboyles, Dbtfz, John, Jidanni, Zaphraud, FrozenMan, Notmicro, JorisvS, Ckatz, CyrilB, A. Parrot, Dicklyon, Optakeover, Optakeover, Dalstadt, Nwwaew, ShakingSpirit, Hgrobe, Hu12, Blackcloak, W0lfie, IanOfNorwich, Tawkerbot2, Chetvorno, Atomobot, Powerslide, GeordieMcBain, Nutster, CmdrObot, Irwangatot, Scohoust Scohoust,, MorkaisCh MorkaisChosen,Ilikef osen,Ilikefood, ood, Prlsmith Prlsmith,, JohnCD, JohnCD, Nczempin, Nczempin, Orderinc Orderinchaos, haos, Jamoche, Jamoche, Zyxoas, Zyxoas, WeggeBot WeggeBot,, Seven Seven of Nine, Nine, Mike5193, Mike5193, RP98007, Cydebot, Lemurdude, Zginder, JustinForce, My Flatley, DumbBOT, Electric squall, Fyedernoggersnodden, Fyedernoggersnodden, Thijs!bot, Thijs!bot, Wikid77, Drpixie, Ishdarian, Young Pioneer, Electron9, Electron9, Leon7, FourBlades, Nick Number, Jauricchio, AntiVandalBot, AntiVandalBot, Linksmask1, Opelio, Shirt58, Gef756, Indrek, BinaryFrog, DarthShrine, Lfstevens, Lfstevens, Myanw, Andy.Cowley, Andy.Cowley, Zondran, Geobio, Arch dude, Ron7684, Ccrrccrr, Andonic, Coolhandscot, PhilKnight, Meeples, Sangak, Magioladitis, VoABot II, Mondebleu, Xochimec, Nikevich, Catgut, Crunchy Numbers, User A1, Martynas Patasius, JaGa, Calltech, Oroso, S3000 , Audi O Phile~enwiki, Denis tarasov, Axlq, Rettetast, Bissinger, Fuzzyhair2, Avakar, Kateshortforbob, Freeboson, J.delanoy, Pharaoh of the Wizards, Nbauman, Uncle Dick, Jesant13, Monodb, Ganymedstanek, Lannocc1, McSly, Mbbradford, Mbbradford, RiverBissonnette, RiverBissonnette, Glens Glens userspace watcher, Warut, Warut, Leodj1992, Leodj1992, Szzuk, NewEnglandYankee, NewEnglandYankee, Vanished Vanished user 47736712, Potatoswatter, Potatoswatter, Ja 62, H1voltage, Alexander Bell, Mlewis000, Samkline, Idioma-bot, Funandtrvl, Deor, Ivor Catt, VolkovBot, Larryisgood, Orphic, Pleasantville, Pasquale.Carelli, Constant314, Philip Trueman, TXiKiBoT, Circuit13, The Original W ildbear, BertSen, Lordvolton, Sankalpdravid, Qxz, Cloudswrest, Oxfordwang, Dendodge, Martin451, PaulTanenbaum, Inductiveload, Nelkins, Yk Yk Yk, Synthebot, Altermike, GlassFET, Michaeltripp, Spinningspark, Antosheryl, Nibios, BeowulfNode, Symane, S.Örvarr.S, Theoneintraining, SieBot, Hertz1888, VVVBot, Trigaranus, Mwaisberg, Mwaisberg, Bentogoa, A. Carty, Ioverka, Ioverka, PHermans, Hello71, KoshVorlon, KoshVorlon, Steven Zhang, Lightmouse, Alex.muller, Ngriffeth, Fullobeans, PlantTrees, Treekids, TreeSmiler, Asher196, Dp67, SpectrumAnalyser, ClueBot, Binksternet, GorillaWarfare, Snigbrook, Robchat, Wanderer57, GreenSpigot, Mild Bill Hiccup, Ventusa, Edlerk, Enghoff, Pointillist, Nima shoormeij, Excirial, Excirial, Jusdafax, Robbie098, Anon lynx, Lucas the scot, Dagordon01, Tylerdmace, Iner22, Esbboston, Brews ohare, Simdude2u, Jotterbot, Promethean, Etcwebb, Etcwebb, Editor510, Banime, Thingg, Wstorr, Tleave2000, Berean Hunter, Elcap, DumZiBoT, InternetMeme, AlanM1, XLinkBot, BodhisattvaBot, Rror, Cameracut, Dthomsen8, Noctibus, WikiDao, Airplaneman, Alex Khimich, Addbot, Mortense, Landon1980, KickimusButtus, Ronhjones, Ronhjones, Jncraton, Pcormon, Pcormon, Cst17, MrOllie, Download, LaaknorBot, Redheylin, Favonian, Favonian, K Eliza Coyne,
136
•
•
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS LinkFA-Bot, Peti610botH, Himerish, Numbo3-bot, Corny131, StoneCold89, Tide rolls, Luckas-bot, Yobot, Zaereth, Schuym1, Kartano, Jordsan, Amirobot, Mmxx, THEN WHO WAS WAS PHONE?, AnomieBOT, Sonia, Jim1138, Jeni, B137, GRDoss, Materialscientist, Materialscientist, Citation bot, Hadaly, OllieFury, ArthurBot, Xqbot, Capricorn42, Jeffrey Jeffrey Mall, Yuiwii, Turk oğlan, ManasShaikh, Mmathiesen, Wingstarsoft, GrouchoBot, Mdewman6, RibotBOT, Epannila, Leonardo Da Vinci, Quantum ammar, Thaflinger, GhalyBot, Grossday, Chongkian, Dougofborg, Coleycole, GliderMaven, FrescoBot, Feneeth of Borg, RuslanBer, Yiyi303, Soam Vasani~enwiki, Idyllic press, Hasanbabu, Craig Pemberton, Pemberton, Rjwiki09, Citation bot 1 , Pinethicket, Jonesey95, Tom.Reding, RedBot, 124Nick, Foobarnix, Fumitol, Vin300, AbhishekchaAbhishekchavan79, Hitachi-Train, LogAntiLog, Dinamik-bot, Vrenator, MajorStovall, TorQue Astur, Theo10011, Vladislav Pogorelov, Minimac, Rad peeps, Hyarmendacil, NerdyScienceDude, Cogniac, Bullet train, Mark Kretschmar, EmausBot, WikitanvirBot, Hippopenonomous, Da500063, GoingBatty, Minimac’s Clone, DMChatterton, Tommy2010, Gavinburke, Winner 42, REMspectrum, Frof eyed, ZéroBot, Lindseyrose, Sanalks, Fred Gandt, Sbmeirow, L Kensington, Zueignung, Ego White Tray, DennisIsMe, Itaharesay, Maminov2, TYelliot, ClueBot NG, Ulflund, Matthiaspaul, Vividvilla, Delusion23, 10v1walsha, ScottSteiner, Benfriesen12, Benfriesen12, Widr, Reify-tech, Reify-tech, Vortex112, Helpful Helpful Pixie Bot, Doorknob747, Lowercase sigmabot, Mataresephotos, BG19bot, IronOak, Vagobot, Vokesk, AntonioSajonia, Piguy101, Mark Arsten, AhsanAli408, Rickey985, Isacp, Sleepsfortheweak, Frizb99, BattyBot, Clienthopeless, DarafshBot, Mahmud Halimi Wardag, HubabubbalubbahubbaY HubabubbalubbahubbaYABALICIOUS, ABALICIOUS, SD5bot, JamesHaigh, Kshahinian, Dexbot, Aloysius314, Mogism, Salako1999, Bayezit.dirim, Isarra (HG), MZLauren, Frosty, Paxmartian, FrostieFrost, Vahid Vahid alpha, Madhacker2000, Mark viking, Altered Walter, TREXJET, Fa.aref, Gomunkul51, Murmur75, Gtrsentra, DavidLeighEllis, Glaisher, Jwratner1, Asadwarraich, Cricetone, Monkbot, JREling1, JaunJimenez, MadDoktor23, Applemusher123, NameloCmaS, NameloCmaS, Krelcoyne, Ruksakba, Goran Diklic and Anonymous: 1034 http://en.wikipedia.org/wiki/Inductor?oldid=666006804 Eclecticol cology, ogy, Christoph Christopher er Mahan, Ben Contributors: Eclecti Inductor Source: Zin~enwik Zin~enwiki,i, Heron, Heron, Mintguy, Mintguy, Youandm Youandme, e, Hephaesto Hephaestos, s, Patrick, Patrick, RTC, Michael Michael Hardy, Chan siuman, siuman, Sebastian SebastianHelm Helm,, Dgrant, Dgrant, Looxix~enwiki, Glenn, Bogdangiusca, Nikai, Jiang, Smack, Lommer, CAkira, Bemoeial, RickK, Reddi, Zoicon5, Omegatron, UninvitedCompany, Rogper~enwiki, Robbot, Romanm, Cyrius, Giftlite, Wolfkeeper, Wolfkeeper, Dratman, Ssd, Starsong, Yekrats, Bobblewik, Chowbok, Utcursch, LucasVB, Gzuckier, GeoGreg, Nickptar, Mike Rosoft, Mormegil, Rich Farmbrough, Pjacobi, Pjacobi, ArnoldReinhold, Harriv, MisterSheik, Bdieseldorff, Chairboy, Chairboy, Army1987, Meggar, Bert Hickman, Nk, Congruence, Haham hanuka, Hooperbloob, Lornova~enwiki, Lornova~enwiki, Jumbuck, buck, Atlant, Atlant, Keenan Keenan Pepper, Pepper, Benjah-b Benjah-bmm27, mm27, Wtshymans Wtshymanski, ki, Apolkhano Apolkhanov, v, DV8 2XL, GeneNygaard, Aempire Aempirei,i, Aidanlist Aidanlister, er, BillC, BillC, Pol098, Pol098, Rtdrury, Cbdorsett, CharlesC, Frankie1969, Eirikr, BD2412, Snafflekid, Rjwilmsi, Joel D. Reid, FlaBot, Neonil~enwiki, Loggie, Alfred Centauri, Pewahl, Fresheneesz, Lmatt, Srleffler, Antikon, Krishnavedala, Berrinam, YurikBot, Stephenb, Gaius Cornelius, Cornelius, Shaddack, Rsrikanth05, NawlinWiki, Grafen, Gerben49~enwiki, Lexicon, TDogg310, Mkill, DeadEyeArrow, Bota47, Unforgiven24, Searchme, Light current, KNfLrPnKNsT, Arthur Rubin, Nemu, Mike1024, Junglecat, SmackBot, Steve carlson, Thorseth, Eskimbot, Bernard François, Gilliam, Ohnoitsjamie, Lindosland, Lovecz, Bluebot, Thumperward, Oli Filth, Papa November, Adpete, DHN-bot~enwiki, Cfallin, Hgrosser, SundarBot, Zhinker, ServAce85, M jurrens, Kbwikipedia, DMacks, Petedarnell, TenPoundHammer, Ohconfucius, SashatoBot, Akendall, Hefo~enwiki, FrozenMan, Copeland.James.H, Gobonobo, CyrilB, Dicklyon, Waggers, Dalstadt, Hu12, Paul Foxworthy, G-W, Chetvorno, Nczempin, Velle~enwiki, MarsRover, MaxEnt, Christian75, Ebraminio, Acronymsical, J. W. Love, Escarbot, WikiWebbie, WikiWebbie, Guy Macon, Seaphoto, Lovibond, Salgueiro~enwiki, Myanw, JAnDbot, CosineKitty, Arch dude, Andonic, Elspec, Drhlajos, Drhlajos, VoABot II, Mondebleu, Hmo, Rivertorch, Rivertorch, ShiftyDave, Cpl Syx, Vssun, Khalid Mahmood, InvertRect, Highsand, Hdt83, Glrx, Pharaoh of the Wizards, Kar.ma, AntiSpamBot, Wikigi, Tt801, Funandtrvl, Maxzimet, Worp8d, Amaraiel, Philip Trueman, TXiKiBoT, The Original Wildbear, Vipinhari, Ulfbastel, Sankalpdravid, JayC, Dendodge, RandomXYZb, Synthebot, RaseaC, Spinningspark, AlleborgoBot, SieBot, TYLER, Yintan, Flyer22, A. Carty, ScAvenger lv, Baseball Bugs, Lightmouse, OKBot, Maelgwnbot, Mhims, Maralia, Ascidian, Dlrohrer2 Dlrohrer2003, 003, ClueBot, ClueBot, PipepBot, PipepBot, Wolf Wolfch, ch, GreenSpi GreenSpigot, got, MildBill Hiccup, Hiccup, Night Night Goblin, Goblin, Niceguy Niceguyedc, edc, Harland1, Harland1, Arunsing Arunsingh16, h16, DragonBot, DragonBot, No such user, Alexbot, PixelBot, Arjayay, Arjayay, Alertjean, Alertjean, AbJ32, Aitias, Superherogirl7, Superherogirl7, Berean Hunter, Elcap, Little Mountain 5, LizGere, Addbot, Manuel Trujillo Trujillo Berges, Breakeydown, Breakeydown, Ronhjones, Ronhjones, Download, Austin RS, Tide rolls, Grandfatherclo Grandfatherclok, k, Lightbot, Teles, Gail, Yobot, THEN WHO WAS PHONE?, Nallimbot, AnomieBOT, KDS4444, Götz, Galoubet, Zangar, Kingpin13, Materialscientist, Oooh.oooh, SvartMan, Citation bot, ArthurBot, Jlg4104, Aditya Cholan, Xqbot, Armstrong1113149, Srich32977, Munozdj, Pirateer, GrouchoBot, Pandamonia, Even stevenson, RibotBOT, Nedim Ardoğa, Immibis, GliderMaven, Rickc Rickcwalker, walker, Prari, MetaNest, Steve Quinn, BenzolBot, Citation bot 1, LukeB 11, Pinethicket, Pinethicket, FearXtheXfro, FearXtheXfro, Boulaur, HazardX21, Fumitol, Jauhienij, Jauhienij, Meisongbei, Meisongbei, Theo10011, Defrector, Defrector, Penterwast, Mean as custard, EmausBot, John of Reading, WikitanvirBot, Wiebelfrotze Wiebelfrotzer,r, Katherine, Enviromet, Your Lord and Master, K6ka, Lindseyrose, Wagino Wagino 20100516, BabyBatter, ClueBot NG, Gareth Griffith-Jones, Matthiaspaul, Matthiaspaul, Iwsh, O.Koslowski, O.Koslowski, Widr, , Karthik262399, Jeraphine Gryphon, AvocatoBot, Amp71, Robert the Devil, Sparkie82, Cky2250, BattyBot, Cyprien 1997, Dexbot, Webclient101, Vahid alpha, Prateekgoyl, Prateekgoyl, Ï¿½, Xdever, BhavdipGadhiya, BhavdipGadhiya, Dainte, Alkalite, Cameronroytaylor, Cameronroytaylor, Monkbot, BatManFascination, BatManFascination, JaunJimenez, Hy1201750, Lando123456789, Mario Castelán Castro, Gkmurtoff, Cali0086, Nc4sb8 a nd Anonymous: 434 Contributors: Tobias Hoevekamp, Mav, Zundark, Rjstott, Aldie, Diode Source: http://en.wikipedia.org/wiki/Diode?oldid=666628173 Contributors: Mudlock, Heron, Youandme, Topory, Edward, Michael Hardy, Tim Starling, Dgrant, Ahoerstemeier, Theresa knott, Snoyes, Jll, Glenn, Bogdangiusca, Nikai, Bassington, GRAHAMUK, EL Willy, Timwi, Dysprosia, Oliver Sedlacek, Maximus Rex, Furrykef, Omegatron, Darkhorse, Jerzy, Donarreiskoffer Donarreiskoffer,, Branddobbe, Robbot, Tomchiukc, Tomchiukc, Rholton, Blainster, Ledgerbob, Wjbeaty, Giftlite, Andries, Leonard G., StuartH, Knutux, Slowking Man, Aledeniz, Mako098765, R-Joe, Aulis Eskola, Richmd, Glogger, Johnflux, Bumm13, GeoGreg, Zfr, Sam Hocevar, Tzarius, Ukexpat, Canterbury Tail, Rfl, Discospinster, TedPavlic, Bert490, R6144, Xezbeth, Alistair1978, Dahamsta, DjordDjordjes, Nabla, Sietse Snel, Nigelj, Nigelj, Hurricane111, Smalljim, Rbj, Matt Britt, Foobaz, Jojit fb, MPerel, Haham hanuka, Hooperbloob, Jumbuck, Alansohn, Alansohn, GRider, GRider, Mo0, Stoveto Stovetopcoo pcookies kies,, TrevorP TrevorP,, Atlant, Atlant, Riana, Riana, Amram99, Amram99, Cdc, VladimirK VladimirKorab orablin,Ross lin,Ross Burgess, Burgess, Velel Velella, la, Wtshymans Wtshymanski, ki, Vedant, Cal 1234, Tony Sidaway, DV8 2XL, Gene Nygaard, HenryLi, Bookandcoffee, Mindmatrix, Parboman, StradivariusTV, Pol098, Gruu, Bbatsell, The Lightning Stalker, Stalker, Lovro, Graham87, Ryan Norton, SteveW, Syndicate, Brighterorange, Ttwaring, Alejo2083, Alejo2083, FlaBot, Authalic, RobertG, Arnero, Alfred Centauri, RexNL, Gurch, Nimur, Fresheneesz, Goudzovski, Srleffler, Zotel, Snailwalker, Snailwalker, CJLL Wright, Chobot, Chobot, Frappyjohn Frappyjohn,, John Dalton, Dalton, YurikBot, YurikBot, Wavel Wavelength ength,, Jimp, Espencer Espencer,, Stephen Stephenb, b, Okedem, Okedem, Rsrikant Rsrikanth05, h05, Kb1koi, Kb1koi, David David R. Ingham, Ingham, Rohitbd, Wiki alf, Mssetiadi, Speedevil, Rwalker, Bota47, Jeh, Searchme, Mholland, Light current, Lt-wiki-bot, Morcheeba, Morcheeba, Tabby, Fernblatt, Nkendrick, Allens, Plober, GrinBot~enwiki, Zvika, Sam Gardiner, Jimerb, SmackBot, FocalPoint, KnowledgeOfSelf, Bggoldie~enwiki, Melchoir, Unyoyega, The Photon, Cronium, Gilliam, Skizzik, Lindosland, Bluebot, Kurykh, Avin, DHN-bot~enwiki, Darth Panda, Audriusa, Chendy, Riflemann, Can't sleep, clown will eat me, Kevinpurcell, Kevinpurcell, Flubbit, Nonforma, Nonforma, Smooth O, Sesc~enwiki, Puelly, Drphilharmonic, DMacks, ILike2BeAnonymous, Jwheimans, Springnuts, John Reid, Archimerged, Kristleifur~enwiki, JorisvS, Olfzwin, CyrilB, Kevca, Tasc, Darein, Mr Stephen, Amr Bekhit, R ogerbrent, ogerbrent, Dicklyon, Xitdiest0day, Spiel496, Kvng, Pjrm, BranStark, OnBeyondZebrax, OnBeyondZebrax, W0lfie, Az1568, Chetvorno, INkubusse, Mikiemike, CmdrObot, Tarchon, Irwangatot, Sir Vicious, KyraVixen, Nczempin, NickW557, MarsRover, Lazulilasher, Deadferrets, SahRaeH, Gogo Dodo, Quibik, Pi3832, Richard416282, Alaibot, Omicronpersei8, Gimmetrow, Thijs!bot, Epbr123, Fisherjs, Acronymsical, Headbomb, Electron9, Gerry Ashton, PHaze, Nick Number, Andante1980, KrakatoaKatie, Rees11, AntiVandalBot, Abu-Fool Danyal ibn Amir al-Makhiri, Opelio, QuiteUnusual, JAnDbot, Em3ryguy, Pi.1415926535, MER-C, Geobio, Arch dude, Photodude, LittleOldMe, Bongwarrior, VoABot II, JamesBWatson, Mbc362, Jack Schmidling, Cpl Syx, Jhabib, Witchinghour, Witchinghour, Brandon Hixson, WLU, TheNoise, MartinBot, STBot, Pringley Joe, R'n'B, J.delanoy, Bongomatic, JohnnyKegs, Silverxxx,
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
•
137
TheDog, Ertyiopul8, Katalaveno, Daniele.tampieri, Wolfoftheazuresky, MKoltnow, Cometstyles, Treisijs, H1voltage, Sam Blacketer, Deor, VolkovBot, VolkovBot, AlnoktaBOT, Philip Trueman, TXiKiBoT, Eddiehimself, Msdaif, Ulfbastel, Schroedi, SQL, Jason Leach, Falcon8765, Spinningspark, Jobberone, Why Not A Duck, Mortivik, AlleborgoBot, Symane, Biscuittin, Bboothman, SieBot, Coffee, Tresiden, Cwkmail, Jp314159, Bentogoa, Nopetro, Siyamraj, Oxymoron83, OKBot, Svick, Anchor Link Bot, TreeSmiler, Escape Orbit, ClueBot, Padre31~enwiki, The Thing That Should Not Be, Ark2120, Learner71, Mild Bill Hiccup, Shinpah1, Ventusa, LizardJr8, Eadthem, F402, Behnammirzay, Farideh.soheily, Naseh nezami, Brews ohare, Paultseung, Jobetheren, MarkEaston, Thingg, Wstorr, Savastio, Versus22, Johnuniq, SmoJoe, X LinkBot, Ultramince, Koumz, Ovis23, Rror, Mimarx, Kreline, Thatguyflint, Addbot, Mthardy, Proofreader77, Proofreader77, Some jerk on the Internet, Elbreapoly, Nestorius, Nestorius, Tanhabot, Forum Mod Daniel, Lionoche~enwiki, ProperFraction, ProperFraction, Glane23, Dynamization, AndersBot, Favonian, Erik Streb, Nanzilla, Shocking Asia, Tide rolls, Zorrobot, Nhoss2, Legobot, Publicly Visible, Luckas-bot, Yobot, Kartano, Fraggle81, , , , DemocraticLuntz, 1exec1, Jim1138, Kingpin13, Poetman22, Пика Пика, Materialscientist, ArdWar, Maxis ftw, Norkimes, ArthurBot, RealityApologist, LilHelpa, Xqbot, JimVC3, Capricorn42, GrouchoBot, Corruptcopper, Pandamonia, Niimiish, RibotBOT, Nedim Ardoğa, Ajitkumar 2009, Maitchy, Us441, Geheimer, Jackandbos, FrescoBot, Cruiserbmw, Hldsc, Weetoddid, Roman12345, Shekhartit, Migul91, Nextext, Pinethicket, I dream of horses, Edderso, Tom.Reding, Joshuachohan, SpaceFlight89, Jp619, Arisharon, Lissajous, Jauhienij, Mstrogoff, Cirrone, Scopeknowledge, Re bill seeker of archery, DARTH SIDIOUS 2, S3nbon5akura, Xoristzatziki, Salvio giuliano, Mrseanski, EmausBot, John of Reading, Acather96, WikitanvirBot, Balavenkataraju, Balavenkataraju, Hashemfekry9, GoingBatty, RenamedUser01302013, Wikipelli, Hhhippo, ZéroBot, Mkratz, Arpit.withu, Lindseyrose, Sthubertus, Lion789, GianniG46, Sonygal, Sbmeirow, Jay-Sebastos, Donner60, Tls60, Anonimski, Puffin, ChuispastonBot, Shnako, 28bot, Maxdlink, Mikhail Ryazanov, ClueBot NG, Ulflund, KonaBear05, Ulrich67, Vipinratnakaran, Snotbot, Braincricket, Qwertymnbvc10, Widr, Colossuskid, Titodutta, Calabe1992, Wbm1058, Bibcode Bot, Mataresephotos, BG19bot, Davdforg, Mysterytrey, Wiki13, Karthiksperla, EmadIV, Nicola.Manini, Snow Blizzard, Zedshort, Ulidtko, CensoredBiscuit, CensoredBiscuit, Pawan vaskar, ChrisGualtieri, Akash96, Manastuna, AK456, Smee78, BrightStarSky, Dexbot, Shsi1123, Johnmathew15, Lugia2453, Zee1215, 069952497a, Reatlas, Faizan, Username1507, Camayoc, Ugog Nizdast, Spyglasses, Citrusbowler, Ginsuloft, Akhil Bandari, Gokul.gk7, Cahhta, Beastemorph, SimeonBF, Monkbot, BatManFascination, DaveeBlahBlah, Cccp3, Beloxxi, Master Gourav Chandra, SomeOtherOldGuy, Radian2012, Kiwi2002, KasparBot, Gourav shende and Anonymous: Anonymous: 701 http://en.wikipedia.org/wiki/Wire?oldid=664980034 Contributors: Kpjas, David Parker, Bryan Derksen, Malcolm Farmer, Contributors: Kpjas, Wire Source: http://en.wikipedia.org/wiki/Wire?oldid=664980034 Sjc, Rmhermen, Aldie, Karen Johnson, Heron, Patrick, RTC, M ichael Hardy, JakeVortex, JakeVortex, Delirium, Ahoerstemeier, Mac, Glenn, Smack, Radiojon, Radiojon, Maximus Rex, Wernher, Joy, Pilaf~enwiki, Nurg, Rholton, Bkell, Alan Liefting, Liefting, Giftlite, Jason Quinn, Solipsist, Darrien, Slowking Man, Yath, Grinner, Biot, Trevor MacInnis, MarkSH, Corti, CALR, Jiy, Rich Farmbrough, Guanabot, ArnoldReinhold, Adam850, Alistair1978, RJHall, MisterSheik, Bobo192, Stesmo, Reinyday, Reinyday, Duk, Cmdrjameson, Duffman~enwiki, Duffman~enwiki, Wtshymanski, Bsadowski1, Gene Nygaard, Miaow Miaow, SCEhardt, Hughcharlesparker, Banpei~enwiki, Dysepsion, Graham87, JamesBurns, Dwarf Kirlston, Rjwilmsi, Graibeard, Avocado, FlaBot, Margosbot~enwiki, RexNL, Silversmith, Chobot, NSR, YurikBot, Borgx, Charles Gaudette, Peterkingiron, D0li0, Stephenb, Gaius Cornelius, Wimt, Alynna Kasmira, NawlinWiki, ENeville, Brian Crawford, Jeremy Visser, 21655, Zzuuzz, E Wing, Vicarious, Segv11, Dusso Janladde, Yvwv, SmackBot, Abhishek.scorp, Abhishek.scorp, Verne Equinox, Equinox, Jfurr1981, Edgar181, Xchbla423, KaiserbBot, Answerthis, Repairscircuitboards, Zzorse, A5b, Ohconfucius, John, Shirifan, MarkSutton, Slakr, Beetstra, Dr.K., Peter R Hastings, Peter Horn, Wizard191, Iridescent, Eastlaw, El aprendelenguas, Cydebot, Robmonk, Thijs!bot, Epbr123, Mercury~enwiki, Dtgriscom, Escarbot, AntiVandalBot, Alphachimpbot, Gökhan, JAnDbot, Leuko, MER-C, Ccrrccrr, Yahel Guhan, Bongwarrior, VoABot II, Mondebleu, Bwhack, Allstarecho, Chkno, Martynas Patasius, The Real Marauder, DerHexer, Valdo~enwiki, Markco1, MartinBot, Ariel., Jim.henderson, Glrx, R'n'B, Nono64, J.delanoy, Trusilver, Headgit, Alex:D, Idioma-bot, Nate Dog 93, Cem BSEE~enwiki, Jackd812, Drunkenmonkey, Andy Dingley, Enugala ashok, Jhawkinson, Spinningspark, Nssbm117, Jrshaffer11, Dogah, Brenont, Jimmy-griffin, Steven Zhang, EmanWilm, Lascorz, Dlrohrer2003, ClueBot, Binksternet, GorillaWarfare, WoweeeZoweee, Excirial, Vsombra, Ejay, Razorflame, Nate man123, BOTarate, 7, Gonzonoir, Nahtans, Uli sh, Kbdankbot, CalumH93, Addbot, Proofreader77, Wakablogger2, Non-dropframe, Non-dropframe, Jncraton, Fieldday-sunday, Fieldday-sunday, CanadianLinuxUser, 102orion, Lightbot, Yobot, TaBOT-zerem, Iroony, Flewis, Materialscientist, MCloud114, Bob Burkhardt, GB fan, Addihockey10, Addihockey10, Jeffrey Jeffrey Mall, Amirajab, Amirajab, Stupedosmanoense, Farzaaaad2000, Freddaveg, Mike Dill~enwiki, SD5, FrescoBot, , Ong saluri, Mfwitten, Mfwitten, PigFlu Oink, Miagmar, Mr. Jake Anders, JackMOgden, JackMOgden, Hellzies, Manuel Labor, TheArguer, John of Reading, GoingBatty, Qpalxm27, Enviromet, Rajkiandris, PBS-AWB, Katherine.munn1, Loiy33, Donner60, Anonimski, Terraflorin, ClueBot NG, Rich Smith, MelbourneStar, Satellizer, Harrjhalley, Widr, Reify-tech, Blast furnace chip worker, MerlIwBot, Propel2234, PFH1987, Pano38, Uluru345, YVSREDDY, Flamekiller123, CatcherInTheRye773, I edeted this page, Riley Huntley, Md jamal molla, Sethdinicola, Dexbot, R5452, DavidLeighEllis, Ginsuloft, JaunJimenez, Fyddlestix, Thunder6666, Weldedwire-mesh and Anonymous: Anonymous: 195 Printed circuit circuit board Source: http://en.wikipedia.org/wiki/Printed_circuit_board?oldid=665758677 Contributors: Malcolm Farmer, William Avery, Ray Van De Walker, Waveguy, Heron, Camembert, Vkem~enwiki, RTC, D, Michael Hardy, Nixdorf, Liftarn, Ahoerstemeier, Haakon, Ronz, Theresa knott, Fuck You, Julesd, Glenn, Andres, Smack, Arteitle, RickK, Maximus Rex, Furrykef, Wernher, Robbot, Pigsonthewing, Altenmann, Tobycat, Sunray, Hadal, Pengo, Rsduhamel, Dina, Alan Liefting, Ploum’s, Giftlite, DavidCary, BenFrantzDale, Ds13, Leonard G., Khalid hassani, Darrien, Bobblewik, Pale blue dot, Sam Hocevar, Abdull, Flyhighplato, JTN, Rich Farmbrough, Farmbrough, Smyth, Bender235, Plugwash, Edward Z. Yang, Nile, Sietse Snel, Jevinsweval, Jevinsweval, Bobo192, Kghose, Whosyourjudas, Whosyourjudas, Meestaplu, Robotje, Cmdrjameson, R. S. Shaw, Richi, Giraffedata, DCEdwards1966, Hooperbloob, Avian, Jumbuck, Alansohn, Atlant, Joshbaumgartner, Andrewpmk, Theodore Kloba, Mysdaao, Malo, Ste281, Velella, Wtshymanski, Kusma, DV8 2XL, Saxifrage, Dennis Bratland, Oleg Alexandrov, Angr, Rintojiang, OwenX, Mindmatrix, Chris Mason, Pol098, Miss Madeline, Kglavin, Davidfstr, BradleyEE, Someone42, Macaddct1984, Hughcharlesparker, Prashanthns, Marudubshinki, Mandarax, BD2412, FreplySpang, Jclemens, Sjakkalle, Rjwilmsi, Rjwilmsi, Guyd, GOD, Scorpiuss, Borborygmus, Nguyen Thanh Quang, N0YKG, FlaBot, Neonil~enwiki, Neonil~enwiki, RexNL, Ewlyahoocom, Gurch, Chobot, Sherool, Korg, Gwernol, George Leung, YurikBot, Wavelength, Charles Gaudette, Adam1213, DMahalko, Icarus3, Hydrargyrum, Stephenb, Gaius Cornelius, Shaddack, Wiki alf, Brewthatistrue, Janke, Nick, Sangwine, Denisgomes, Coderzombie, Jpbowen, Ndavies2, LodeRunner, Voidxor, Voidxor, Misza13, Scottfisher, Gadget850, Moritasgus, Salmanazar, Searchme, Johncruise, Light current, Phgao, Morcheeba, Closedmouth, Mike1024, Wechselstrom, Tom Duff, Snaxe920, Majtec, Kf4bdy, Peranders, SmackBot, Esradekan, Reedy, KnowledgeOfSelf, KnowledgeOfSelf, Pgk, The Photon, Thunderboltz, Edgar181, Zephyris, Reaver3123, Gilliam, Ohnoitsjamie, Ohnoitsjamie, Betacommand, Lindosland, KD5TVI, Chris the speller, Optikos, MalafayaBot, George Church, Astaroth5, Audriusa, Frap, RProgrammer, Onorem, Rrburke, Radagast83, Nakon, MichaelBillington, MichaelBillington, Dreadstar, Dcamp314, D camp314, HarisM, Weregerbil, Weregerbil, Rao umair, Nmnogueira, SashatoBot, Akendall, Akendall, Zaphraud, Treyt021, CaptainVindaloo, Codepro, Codepro, Mr. Lefty, IronGargoyle, IronGargoyle, CyrilB, AnotherBrian, Tasc, Beetstra, Amr Bekhit, Sharcho, Ryulong, Rickington, Keycard, Hu12, Phakorn, Screaming.people, Tawkerbot2, Mikebuetow, Mware, Buist2000, Chetvorno, Atomobot, DJGB, JForget, Karloman2, Maolmhuire, Lamkin, Aweinstein~enwiki, Aweinstein~enwiki, TwinsMetsFan, Shoez, Dgw, MarsRover, HenkeB, Casper2k3, Johnlogic, Swoolverton, Steel, Gogo Dodo, Wa2ise, David 39, Quibik, Pga23, Codetiger, Roberta F., DumbBOT, Pacemkr, Editor at Large, Omicronpers cronpersei8, ei8, Pipatron,Repliedt Pipatron,Repliedthemo hemocktu ckturtle rtle,, FrancoGG,Chruch, FrancoGG,Chruch, Kablammo, Kablammo, Michagal Michagal,, GBPacke GBPackersf rsfan, an, Andyjsm Andyjsmith, ith, Al Lemos, Lemos, Bmunden, Bmunden, Electron9, Skidmark, Joeolson, Leon7, Ptndan, Brewsum, Molido, AntiVandalBot, MichaelFrey, Seaphoto, Rehnn83, Edokter, Duaneb,
138
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS Dvandersluis, JAnDbot, Husond, MER-C, Arch dude, Naughtyca, Sophie means wisdom, PhilKnight, Eintar, Roidroid, No more bongos, Kerotan, Jaysweet, VoABot II, Catslash, Avjoska, Avjoska, JamesBWatson, Microcad, Recurring dreams, Indon, Vanished user ty12kl89jq10, Efansay, Dallem~enwiki, Beagel, Madmanguruman, LeeF, Matt B., Akhil999in, MartinBot, Capefearpress, Axlq, SmokeySteve, Glrx, R'n'B, Kateshortforbob, CommonsDelinker, CommonsDelinker, J.delanoy, Lmjohnson, Hans Dunkelberg, Dunkelberg, Newpcb, DanielEng, Jayden54, Bigdumbdinosaur, DorganBot, Scrapeyard, HighKing, Andy.gock, Funandtrvl, VolkovBot, Jeff G., Philip Trueman, Oshwah, The Original Wildbear, Davehi1, Vipinhari, Chimpex, Midlandstoday, Treads032, Aleksandar225, Vesi.kracheva, Computergeek1507, Jcswright2, Andy Dingley, Spinningspark, Brianga, Quantpole, PGWG, Hokie92, SieBot, Moonriddengirl, YourEyesOnly, Yintan, The very model of a minor general, Bhimaji, Hawk777, Flyer22, Tiptoety, A. Carty, Nopetro, JSpung, Oxymoron83, Steven Zhang, Lightmouse, MarkMLl, Bergda, Jons63, Escape Orbit, QuantumCAD, ClueBot, The Thing That Should Not B e, Waldoemerson, Thubing, Arakunem, Christopherblizzard, Christopherblizzard, Tfitzp, SecretDisc, Chter, Yuckhil, Shjacks45, Excirial, Conical Johnson, Wipe2000, Brengi, Sun Creator, NuclearWarfare, Mathematron84, Kakofonous, Kakofonous, Suyogaerospace, Suyogaerospace, Allsvartr, HumphreyW, Party, Ginbot86, Vanished User 1004, Kid42day, XLinkBot, Gnowor, Gxkendall, Dark Mage, BodhisattvaBot, Dthomsen8, John in kc, NobbiP, Shiloh Trouble, Fionaro, Davidgag, RyanCross, Wyatt915, Addbot, Tomve, Mentorgraphicspcb, Pminmo, Otisjimmy1, Zrowny, Ronhjones, Maziaar83, Prxbl, Sleepaholic, Download, CarsracBot, Cristinalee, nalee, Eddau~en Eddau~enwiki, wiki, 5 albert albert square, square, JamesKel JamesKelch, ch, Tiderolls, Lightbot Lightbot,, Zorrobot, Zorrobot, MuZemike MuZemike,, Arbitrari Arbitrarily0, ly0, F818076M1bU1, F818076M1bU1, Murdock123 Murdock123,, Legobot, Joshmcx, Luckas-bot, Yobot, Themfromspace, Amirobot, Peizo, Nallimbot, Evaders99, Thaiio, MrBurns, TheMightyPirate, WizardOfOz, AnomieBOT, Tryptofish, Jim1138, Nelatan, RandomAct, Goldmaned, Materialscientist, RobertEves92, Rajanpras, Eumolpo, ArthurBot, Xqbot, Capricorn42, Grim23, JamesFitzgeraldKelch, JamesFitzgeraldKelch, Another Geoff, Abce2, BulldogBeing, Plusspace pcc, DiodeDave, Douglas W. Jones, Bdoughty96, AJCham, Sesu Prime, Prari, GiraldoX, FrescoBot, LucienBOT, LLanders, Edgarrabbit, Liammorriscirexx, Steve Quinn, Tore wiberg, Cannolis, Nixiebunny, Nixiebunny, Ganesh.fc, Ganesh.fc, Dcshank, I dream of horses, HRoestBot, RedBot, MastiBot, Jodypro, SpaceFlight89, Barras, HeyRick1973, Amber422, Lotje, Sergey539, Rentzepopoulos, , Amaamamm, Mech sj, EdalityBY, Brianaecw, Alphacircuit, Bobby122, DARTH SIDIOUS 2, Mean as custard, Gitmlife, EmausBot, Tororunner, Munguia319, Momin313, Pwaterman, Saniyaleena, Solarra, Wikipelli, Probeboi, BSchneed, Linsinger, Thepurlieu, Wikfr, Laurasmithhp, Nudecline, SAJID1231, Geometryofshadows, Sbmeirow, Sayno2quat, Sethupathy3e, Photojack50, Pcblily, Carmichael, Vanished 1850, Ocyan, Fekri83, Lv131, Cgt, ClueBot NG, Jack Greenmaven, Satellizer, Frietjes, Frietjes, Widr, Zacharyklein, Helpful Pixie Bot, JahanXaib, BG19bot, Dsajga, Northamerica1000, Frze, Jlan712, Jschwa01, Mandolinist, Taneluc, Chip123456, Fylbecatulous, Cyan.aqua, Anhtrobote, Simonbliss, Ushau97, ChrisGualtieri, Khazar2, Ajv39, JYBot, ThunderStormer, Athomeinkobe, Bjct2000, Popey000, Epicgenius, Sabrina phoebe, Wellentech1981, IliyaKovac, Sonĝanto, Tentinator, Wbiliet, Rtrombetta, Rtrombetta, BerlinaLondona, Rock Wang (IQE), Naakaller, Harishmanoharan, Noyster, JEMZ1995, JEMZ19 95, Chetansynergos, Chetansynergos, Sjpachal, Sjpachal, Dsprc, Wasdichsoveraendertha Wasdichsoveraenderthat,t, ColdFootedMole, ColdFootedMole, Brucesmith-usa, Terrytexasbutler, Terrytexasbutler, Shailesh Patel at APC, Engr Wasim Khan, Pancho507, Supdiop, Saqibijaz158, Saqibijaz158, KasparBot, Pola habib, Michael.holper628 Michael.holper628 and Anonymous: 807
•
Electric current Source: http://en.wikipedia.org/wiki/Electric_current?oldid=667056210 Contributors: AxelBoldt, Carey Evans, Heron,
Patrick, D, PhilipMW, Michael Hardy, Tim Starling, Pit~enwiki, Nixdorf, Delirium, Bjpremore~enwiki, Ahoerstemeier, Cyp, Snoyes, Glenn, Nikai, Andres, Cherkash, Rob Hooft, GRAHAMUK, Tantalate, Wikiborg, Reddi, Andrewman327, Zoicon5, Marshman, Omegatron, Ed g2s, Indefatigable, Epl18, Pakaran, Donarreiskoffer, Robbot, Tonsofpcs, Owain, Vespristiano, Mayooranathan, Fuelbottle, Sho Uemura, Wjbeaty, Clementi, Giftlite, Art Carlson, TomViza, Ssd, Jfdwolff, Brockert, SWAdair, LiDaobing, Kjetil r, OverlordQ, Karol Langner, Maximaximax, Rubik-wuerfel, Johnflux, H Padleckas, Kevin B12, Icairns, Raylu, SomeFajitaSomewhere, Trevor MacInnis, Grunt, Danh, Mike Rosoft, Discospinster, Discospinster, Guanabot, Pmsyyz, Masudr, ArnoldReinhold, Mani1, Bender235, FrankCostanza, CanisRufus, Kwamikagami, PhilHibbs, Shanes, Femto, Adambro, Bobo192, Smalljim, Jolomo, Jojit fb, Bert Hickman, Kjkolb, Sam Korn, Haham hanuka, Hooperbloob, Nsaa, Ranveig, Michael Bertolacci, Red Winged Duck, Alansohn, Jaw959, Malo, Bart133, Caesura, Snowolf, Yossiea~enwiki, Wtmitchell, Bucephalus, Velella, CaseInPoint, Super-Magician, Wtshymanski, Yuckfoo, Sedimin, Bsadowski1, DV8 2XL, Gene Nygaard, Capecodeph, HenryLi, Zntrip, Roland2~enwiki, Nuno Tavares, TigerShark, Fingers-of-Pyrex, Rocastelo, StradivariusTV, Benbest, Robert K S, Raevel, CharlesC, Paxsimius, Mandarax, Graham87, BD2412, Crocodealer, DePiep, Edison, Vary, Seraphimblade, Tawker, Sferrier, Titoxd, Tordail, Mishuletz, Winhunter, Nivix, Alfred Centauri, RexNL, Fresheneesz, Wesolson, Srleffler, Imnotminkus, Chobot, Karch, DVdm, WriterHound, YurikBot, Wavelength, RussBot, Splash, Madkayaker, Hydrargyrum, Polluxian, Salsb, Zephyr9, Vanished user 1029384756, Clarenceos, TDogg310, Ospalh, Bucketsofg, Phandel, Rbyrne6722, DeadEyeArrow, Elkman, Kkmurray, Searchme, WAS 4.250, Light current, Enormousdude, 2over0, Jwissick, KGasso, Dspradau, Orthografer, GraemeL, Katieh5584, Kungfuadam, Some guy, Mejor Los Indios, Sbyrnes321, Treesmill, SmackBot, InverseHypercube, Shoy, CyclePat, Vald, Freestyle~enwiki, FRS, Eaglizard, Dmitry sychov, Gilliam, Ohnoitsjamie, ERcheck, Chris the speller, Bird of paradox, Thumperward, Oli Filth, Lenko, PureRED, EdgeOfEpsilon, Zven, Darth Panda, Chendy, Zsinj, Nick Levine, Onorem, Rrburke, Run!, RedHillian, Valenciano, Barney Stratford, Dreadstar, DMacks, Kotjze, Thehakimboy, Dogears, DJIndica, Nmnogueira, Lambiam, John, FrozenMan, CatastrophicToad~enwiki, CatastrophicToad~enwiki, CyrilB, Stikonas, Rogerbren R ogerbrent,t, Dickly D icklyon, on, Mets501, Dacium, NuncAutNunquam, Amitch, BranStark, Iridescent, FSHero, Az1568, Courcelles, Tawkerbot2, Dlohcierekim, Chetvorno, Mattbr, Dgw, MarsRover, Yolcu, Bvcrist, Gogo Dodo, JFreeman, JustinForce, Tawkerbot4, Quibik, Christian75, DumbBOT, Editor at Large, Thijs!bot, Epbr123, Virp, Headbomb, Marek69, John254, James086, Leon7, EdJohnston, Michael A. White, Chewbacca01, Icep, Mlađa, AntiVandalBot, Wang ty87916, Opelio, Jwhamilton, Minhtung91, Arthurmyles, JAnDbot, Husond, Wiki0709, Andonic, SteveSims, SteveSims, Bongwarrior, VoABot II, Roger2909, Romtobbi, Indon, Nposs, 28421u2232nfenfcenc, 28421u2232nfenfcenc, DerHexer, Khalid Mahmood, InvertRect, MartinBot, BetBot~enwiki, Burnedthru, R'n'B, Kateshortforbob, Kateshortforbob, CommonsDelinker, monsDelinker, AlexiusHoratius, Ash, J.delanoy, Littletemchin, Madadem, Trusilver, Trusilver, Geomanjo, DigitalCatalyst, M C Y 1008, 1008 , Nemo bis, Hillock65, Scoobystones, Scoobystones, Hut 6.5, NewEnglandYankee, Potatoswatter, Potatoswatter, Cometstyles, RB972, Treisijs, Treisijs, Inter16, Pdcook, Lseixas, SoCalSuperEagle, Mlewis000, Sheliak, Deor, ABF, LokiClock, Constant314, Philip Trueman, The Original Wildbear, Mikethorny, Ekwonderland, Seraphim, Martin451, Psyche825, Venny85, Andy Dingley, Yk Yk Yk, Enviroboy, Purgatory Fubar, Spinningspark, Big G Ursa, Gallagher69, NHRHS2010, Bernmaster, SieBot, Coffee, K. Annoyomous, Msadaghd, GrooveDog, Bentogoa, JD554, Paolo.dL, Faradayplank, Poindexter Propellerhead, Propellerhead, Svick, Dcook32p, Anchor Link Bot, WikiLaurent, BentzyCo, DRTllbrg, ClueBot, Fribbler, GorillaWarfare, MacroDaemon, Mild Bill Hiccup, Richerman, Delta1989, No such user, Jusdafax, Abhirocks94, Gtstricky, Lartoven, Promethean, Gciriani, Yadvinder, Yadvinder, Wisewarlock, Glen Chagrin, Jfioeawfjdls453, Jfioeawfjdls453, Subash.chandran007, Versus22, Meske, Lxmota, SoxBot III, RMFan1, PatrickBogdziewicz, Rror, Ahirwav, Alexius08, Noctibus, JinJian, Thatguyflint, Cxz111, Willking1979, Manuel Trujillo Berges, Some jerk on the Internet, Sceny, Hda3ku, Fgnievinski, MoysieGT, Gizza gander, SoSaysChappy, LaaknorBot, Chamal N, Glane23, Chzz, 5 albert square, Naidevinci, Ehrenkater, Tide rolls, Lightbot, PRRP, Secundus Zephyrus, Bmendonc, Megaman en m, Legobot, Luckas-bot, Yobot, Niklo sv, CinchBug, Tempodivalse, Cnorrisindustry, Orion11M87, AnomieBOT, KDS4444, Killiondude, Jim1138, AdjustShift, Dr. Pathos, RandomAct, Materialscientist, Materialscientist, Carlsotr, Raven1977, Xqbot, Athabaska-Clearwater, Athabaska-Clearwater, Capricorn42, SchfiftyThree SchfiftyThree (Public), Jeffrey frey Mall, GrouchoBot, Cooltoad4102, Trurle, Oli19, Karlmossmans, JulianDelphiki, Shadowjams, Mike Dill~enwiki, Erik9, , Bekus, GliderMaven, GliderMaven, Prari, FrescoBot, Pepper, Wikipe-tan, Sky Attacker, Attacker, Citation bot 1, Pinethicket, Pinethicket, Flekstro, Tinton5, Jusses2, Var0017, Serols, Mak2109, Meaghan, Robo Cop, Turian, Malikserhan, December21st2012Freak, IVAN3MAN, TobeBot, Heyyyyah, SchreyP, Jonkerz, Lotje, Defender of torch, Specs112, Michael.goulais, PleaseStand, Systemdweller, Jo big daddy, TjBot, 123Mike456Winston789, Mandolinface, EmausBot, John of Reading, Acather96, Gfoley4, GoingBatty, I am from south wales, Tommy2010, Netheril96, Wikipelli,
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
•
139
AvicBo AvicBot,t, JSquish, JSquish, Fæ, Imperial Imperial Monarch, Monarch, Gdaman5, Gdaman5, Dondervo Dondervogel gel2, 2, Pokeyc Pokeyclap7, lap7, Stephen StephenC C Wells, Wells, Newlen, Newlen, Lambrosu Lambrosus, s, Jsayre64, Jsayre64, Rseagull, Rseagull, ChuispastonBot, RockMagnetist, Teapeat, DASHBotAV, Kj13isaac, Xonqnopp, ClueBot NG, Gilderien, Benydogc13, Enopet, Rezabot, ThatAMan, Helpful Pixie Bot, Wbm1058, Lowercase sigmabot, Hallows AG, Metricopolus, Mark Arsten, Cj3975, Shawn Worthington Laser Plasma, OSU1980, Vanished user lt94ma34le12, Neshmick, ChrisGualtieri, ChrisGualtieri, GoShow, Embrittled, Garamond Lethe, Tabrin-mabra, IWikileaks, Kyohyi, Kyohyi, JohnnyJones1018, Dustin V. S., Flat Out, Tigraan, Spyglasses, Ducksandwich, Ducksandwich, Vavdeev, Grammato, Monkbot, Pulkitmidha, Non-pupulus-impilium, Non-pupulus-impilium, KasparBot, MrArsGravis, Bubbagump1234 and Anonymous: Anonymous: 723 http://en.wikipedia.org/wiki/Integrated_circuit?oldid=666998994 Contributors: Magnus Manske, Derek Ross, Contributors: Magnus Integrated circuit Source: http://en.wikipedia.org/wiki/Integrated_circuit?oldid=666998994 Mav, Bryan Derksen, Espen, Scipius, Arvindn, Rmhermen, Christian List, 0, Ray Van De Walker, Walker, Waveguy, Heron, RTC, Michael Hardy, Tim Starling, DopefishJustin, Nixdorf, Ixfd64, Iluvcapra, 7265, Egil, Stw, Ahoerstemeier, Mac, Julesd, Pratyeka, Glenn, Harvester, Lommer, GRAHAMUK, CAkira, Dcoetzee, Reddi, Stone, Dysprosia, Colin Marquardt, Zoicon5, IceKarma, Tpbradbury, Mrand, Furrykef, Jnc, Omegatron, Wernher, Bevo, Jni, Ckape, Pakcw, Robbot, Fredrik, Chris 73, Donreed, Altenmann, Nurg, Hadal, SC, Mushroom, Stek~enwiki, Stek~enwiki, Ancheta Wis, Alf Boggis, Giftlite, Brouhaha, DavidCary, Laudaka, Mikez, Tom harrison, Everyking, D ratman, Frencheigh, Yekrats, Jce~enwiki, Uzume, Bobblewik, Edcolins, Golbez, Slurslee, Vadmium, Utcursch, Geni, Mike R, Antandrus, Mako098765, Kusunose, Michalj, Michalj, Jossi, Annom, PFHLai, Jeremyk J eremykemp, emp, Cynical, Huaiwei, Mschlindwein, McCart42, Deglr6328, Qdr, Canterbury Tail, Mike Rosoft, Discospinster, 4pq1injbok, Rich Farmbrough, FT2, Rmalloy, Pixel8, Gejigeji~enwiki, Sergei Frolov, SpookyMulder, Dyl, Bender235 Bender235,, Andre Andrejj, jj, Kilrogg, Kilrogg, Ht1848, Ht1848, MisterShe MisterSheik, ik, CanisRuf CanisRufus, us, Walden, Walden, Hayabusa Hayabusa future, future,Edw Edward ard Z. Yang, Yang, Bookof Bookofjude jude,, Femto, Femto, Bobo192, Bobo192, Viames, Smalljim, Duk, RAM, Liquidhot, Cmdrjameson, R. S. Shaw, Cmacd123, Matt Britt, Jerryseinfeld, Jatos, Wikinaut, Wrs1864, Haham hanuka, Pearle, Jonathunder, Hooperbloob, Jumbuck, Richard Harvey, Neonumbers, Atlant, Andrewpmk, AzaToth, Mysdaao, Angelic Wraith, Jdippold, Rebroad, Wtshymanski, Cburnett, Tony Sidaway, Brholden, Jguk, Rjhanson54, HenryLi, Oleg Alexandrov, Woohookitty, Mindmatrix, Pol098, Tabletop, Cbdorsett, Wikiklrsc, Dionyziz, BlaiseFEgan, Frungi, CharlesC, Dysepsion, RuM, Sinman, Graham87, Dpv, Snafflekid, Josh Parris, Sjakkalle, Sjakkalle, Rjwilmsi, Mfwills, Virtualphtn, Kinu, Vegaswikian, Yamamoto Ichiro, Revo331, Mirror Vax, Rz350, Arnero, Intgr, Lmatt, Eman502, Chobot, AmritTuladhar, Gwernol, Wjfox2005, Siddhant, YurikBot, Spacepotato, Sceptre, DMahalko, TheDoober, Toffile, Hydrargyrum, Stephenb, Gaius Cornelius, Pseudomonas, Shanel, Wiki alf, Janke, Trovatore, Ino5hiro, Howcheng, Jpbowen, Zzzzzzus, Mishalak, Scottfisher, BOT-Superzerocool, DeadEyeArrow, Jaymody, Oliverdl, SamuelRiv, Searchme, Tetracube, Light current, Sagsaw, Open2universe, Lt-wiki-bot, Nwk, YolanCh, Closedmouth, Pb30, JQF, CWenger, Wechselstrom, Phil Holmes, Mais oui!, Whaa?, Lamat~enwiki, Bluezy, GrinBot~enwiki, Twilight Realm, SmackBot, Nihonjoe, Nihonjoe, Boypv, Delldot, Sam8, Onebravemonkey, Onebravemonkey, Zephyris, Gilliam, Wlmg, Chaojoker, Chaojoker, Lindosland, Bluebot, SMP, Thumperward, Miquonranger03, Papa November, Simpsons contributor, Jonatan Swift, Southcaltree, Can't sleep, clown will eat me, Harumphy, Konstantino, Konstantino, LouScheffer, LouScheffer, Apexprim8, Dharmabum420, MichaelBillington, Dreadstar, ShaunES, RichAromas, A5b, Dmfallak, Bidabadi~enwiki, Ohconfucius, SashatoBot, RFIDEX, Richard L. Peterson, John, Hefo~enwiki, Hefo~enwiki, Gobonobo, Jaganath, Igor Markov, Shantanudivekar, Bjankuloski06en~enwi Bjankuloski06en~enwiki, ki, IronGargoyle, Bilby, Mr. Vernon, Vernon, Dicklyon, ClutteredMind, CASHMONEYBLACK, Waggers, PeterJohnBishop, Koweja, Koweja, Kvng, Vincecate, Hu12, DabMachine, DabM achine, Iridescent, Overlook1977, Saltlakejohn, Saltlakejohn, Delta x, Noodlez84, Supersquid, Pathosbot, Tawkerbot2, Tawkerbot2, Nerfer, Chetvorno, Ismith, Eastlaw, David Carron, MorkaisChosen, KyraVixen, JohnCD, Nczempin, Dgw, FlyingToaster, Andkore, CompRhetoric, SamiF, Corp1117, Corp1117, Cydebot, Cydebot, Hyperdeat Hyperdeath, h, GogoDodo, Anonymi, Anonymi, Corpx, Corpx, He Who Is, Odie5533, Odie5533, Tawker Tawkerbot4, bot4, Shirulashe Shirulashem, m, Kozuch, Kozuch, Editor Editor at Large, Large, Zalgo, Gimmetrow, Repliedthemockturtle, Thijs!bot, Epbr123, Kubanczyk, Kredal, WillMak050389, Gerry Ashton, Treedee, E. Ripley, Leon7, DJ Creature, Mentifisto, AntiVandalBot, Gioto, Luna Santin, Quintote, Krtek2125, Gökhan, Uusitunnus, JAnDbot, Omeganian, MER-C, Rob Kam, PaleAqua, MSBOT, Magioladitis, Bongwarrior, VoABot II, Cadsuane Melaidhrin, Pixel ;-), Ethan a dawe, Torchiest, Glen, Elven Spellmaker, Seba5618, Iccaldwell, MartinBot, Grandia01, Seenukushi, Hugo Dufort, Rettetast, Glrx, R'n'B, CommonsDelinker, Delinker, Emily GABLE, Transisto, J.delanoy, Trusilver, Trusilver, Pagemillroad, Hans Dunkelberg, Dunkelberg, Uncle Uncle Dick, Maurice Carbonaro, MooresLaw, Eliz81, Rod57, Dispenser, Ook com, Towerofsong, Kingtell, Arms & Hearts, Richard D. LeCour, Touch Of Light, Kraftlos, Umpteee, Cometstyl Cometstyles, es, ShanminDeng ShanminDeng,, Scott Scott Illini, Illini, JavierMC,Randyes JavierMC,Randyest,t, Boratfan, Funandtrvl Funandtrvl,, Hugo999, Hugo999, ABF, JeffG., Jeff G., AlnoktaBO AlnoktaBOT, T, Philip Philip Trueman, Trueman, TXiKiBoT, Nicholasnice, Nicholasnice, BuickCenturyDriver, Muro de Aguas, Starrymessenger, A4bot, Murugango, Lordvolton, Ask123, OlavN, Anna Lincoln, Lincoln, Sintaku, Sintaku, Perien Periendu, du, JhsBot, JhsBot, Leafyplan Leafyplant,t, Jackfork, Jackfork,Leav LeaveSle eSleave aves, s, Candlemb, Candlemb, Cremepuff Cremepuff222, 222, BigDunc, BigDunc, Andy Andy Dingley, Dingley, Lamro, Lamro, Rhopkins8, Enviroboy, Premelexis, RaseaC, Kwandae, Fire woman 11, Why Not A Duck, Nibios, Brianga, Symane, Philofred, Area51david, SieBot, Tiddly Tom, Caltas, Yintan, Delish90, Mr.Z-bot, Quest for Truth, Flyer22, EnOreg, Hello71, Steven Zhang, Fratrep, Gennady70, Svick, Spartan-James, ShabbatSam, Anchor Link Bot, Sfan00 IMG, ClueBot, Jackollie, The Thing That Should Not Be, WaltBusterkeys, Champfoxhound, Champfoxhound, Meekywiki, Eeinmrpk, Lazystupididiots, Niceguyedc, MrEccentric, Sandhyavempati, Puchiko, Jdawson76, AndyFielding, Chinaja, Jtylerw, Nutmegardee, Gretchenpatti, La Pianista, Thingg, Aitias, Tigeron, AHDGraham, Rtellason, Vanished User 1004, DumZiBoT, Christianw7, Koumz, Wikiuser100, Skarebo, Augustojv, Augustojv, Dsimic, Addbot, Ernie Smith, MrOllie, Zzz8 88, Protonk, LaaknorBot, CarsracBot, Cristinalee, Favonian, Jasper Deng, Shekure, Evattb, Lightbot, OlEnglish, Frisbee1, Heinzelmann, Yobot, JackPotte, OrgasGirl, Senator Palpatine, Niklo sv, Hairmetal69, Cepheiden, Crispmuncher, MarkAlexan, THEN WHO WAS PHONE?, Sven nestle, Patrickyip, TestEditBot, Aldwindgr8, Backslash Forwardslash, Forwardslash, AnomieBOT, Rumpler xiv, Cyrus34, 22Rimfire, Seas would rise when I gave the word, Captain Quirk, Jim1138, Materialscientist, RobertEves92, Archaeopteryx, Xqbot, Rocketmanburningallhisfuelouttherealone, Sionus, Capricorn42, JOsborne00, PraeceptorIP, Ute in DC, Leasamimee, RibotBOT, Maitchy, Spellage, FrescoBot, Jc3s5h, RoyGoldsmith, Sae1962, Pinethicket, MBirkholz, HRoestBot, Calmer Waters, Jschnur, RedBot, SpaceFlight89, Lissajous, SkyMachine, ImmortalYawn, ImmortalYawn, X3r13x1z, TobeBot, Ilikenuts23, Edo248, Al Swenson, Maths22, Extra999, Minimac, Danielo103103, DASHBot, EmausBot, GoingBatty GoingBatty,, RenamedUse RenamedUser01302 r01302013, 013, Zestee, Zestee, Gathr, Blakedmil Blakedmiller, ler,Wiki Wikipell pelli,i, WittyMan1986 WittyMan1986,, Weriet Werieth, h, Fæ, Kokopel Kokopellimam limama, a, Jenks24, Jenks24, Joshfinnie, Rashwin95, Yiosie2356, GianniG46, Tolly4bolly, Tolly4bolly, Sbmeirow, L Kensington, Kensington, Tls60, ChuispastonBot, ChuispastonBot, Sunshine4921, Spicemix, Maxdlink, Rocketrod1960, ClueBot NG, Since 10.28.2010 , Gareth Griffith-Jones, Matthiaspaul, MelbourneStar, A520, DobriAtanassovDobriAtanassovBatovski, Chipsetc, LeCon Vivek, Cntras, Widr, Krunchyman, Amircrypto, Helpful Pixie Bot, Novusuna, DanDan0101, Wbm1058, Retired electrician, electrician, WNYY98, Doorknob747, Mataresephotos, Dsajga, Jdbickner, PearlSt82, PearlSt82, Wiki13, MusikAnimal, Mark Arsten, Rm1271, Rm127 1, Mayuri.sandhanshiv, H.sh8241, Eio, RAVIHCTM, Snow Blizzard, Thinkr123, Ihazacold, Pratyya Ghosh, The Illusive Man, Lophostrix, Khazar2, EuroCarGT, Cheryl Hugle, ZaferXYZ, DJB3.14, Rotlink, Reatlas, Rfassbind, Rfassbind, I am One of Many, Anglerphish, AnthonyJ Lock, Geekomat, Cre81ve master, Ugog Nizdast, Taojialibian, ScotXW, 1305199LAL, Joeyao02015678, Sawdust Restaurant, MCDG, Sofia Koutsouveli, Koutsouveli, Sircakethough, Cole1917, Julietdeltalima, Julietdeltalima, IEditEncyclopedia, IEditEncyclopedia, Yasbetch, Yasbetch, KasparBot, Confusion221 and Anonymous: Anonymous: 769 Breadboard Source: http://en.wikipedia.org/wiki/Breadboard?oldid=662857942 Contributors: Aldie, Waveguy, Heron, Lumpbucket, Mahjongg, Mahjongg, Glenn, Omegatron, Wernher, Aenar, Sanders muc, Alan Liefting, Admbws, DavidCary, Leonard G., Foobar, Oscar, Glogger, Equant, MementoVivere, Imroy, Rich Farmbrough, Mani1, Plugwash, CanisRufus, Fuxx, Drw25, Zr40, Hooperbloob, Linuxlad, RoySmith, Wdfarmer, Dominic, Batguano, LukeSurl, Dismas, Bushytails, Mário, Robert K S, Pol098, Tabletop, Cbdorsett, SDC, Rjwilmsi, .digamma, JoshuacUK, Krash, AySz88, FlaBot, Moskvax, Neonil~enwiki, Gurch, Mathrick, Chobot, John Dalton, Bgwhite, YurikBot, Toffile, Hydrargyrum, Gaius Cornelius, Pelago, Mikeblas, DeadEyeArrow, Katieh5584, SmackBot, InverseHypercube, Rovenhot, Commander Keane bot, Ohnoitsjamie, Anwar saadat, Bluebot, MagnusW, @modi, Thumperward, Kostmo, Audriusa, Wynand.winterbach, Neo139, OrphanBot, Starshadow, Soosed, Jonnty, Breno, Jodamn, 16@r, Mr Stephen, Amr Bekhit, KurtRaschke, MTSbot~enwiki, MTSbot~enwiki, Hu12,
140
•
•
•
•
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS Doc Daneeka, Angelpeream, Ilikefood, Ilikefood, Gogo Dodo, D odo, JLD, Kablammo, MangoChicken, Arch dude, Mark Shaw, Fulladder, Deepdive217, Choppingmall, J.delanoy, Snay2, Juliancolton, VolkovBot, VolkovBot, Supervictor, Diazleonardo, Steven J. Anderson, ^demonBot2, Jslabovitz, Mannafredo, nafredo, BigDunc, Andy Dingley, Spinningspark, Yngvarr, ToePeu.bot, ToePeu.bot, Berserkerus, Saurabhd17, WakingLili, WakingLili, Kelvinite, Kelvinite, ClueBot, Tfitzp, Park27094, ChandlerMapBot, Excirial, Da rulz07, Winston365, MorrisRob, Rhododendrites, Faramarz.M, Moonlit Knight, Obrienmi8, Subversive.sound, Subversive.sound, Addbot, Wsvlqc, Fgnievinski, Fgnievinski, Tothwolf, LatitudeBot, Fieldday-sunday, Fieldday-sunday, Getsilly, Favonian, Lightbot, Legobot, Luckasbot, Yobot, AnomieBOT, Archon 2488, Zxabot, Materialscientist, Materialscientist, Obersachsebot, TheAMmollusc, Armstrong1113149, Kyng, Shaine01, Rstuvw, Prari, FrescoBot, Jvojta, Cannolis, RedBot, Sujoykroy, EmausBot, Nuujinn, TuHan-Bot, ZéroBot, Dolovis, Aadhirai R, Sbmeirow, meirow, Lorem Ip, Bahuner, Autoerrant, Bomazi, ClueBot NG, Satellizer, Reify-tech, Scoey123, Wbm1058, Daves73, Per1234, D arafshBot, ChrisGualtieri, Electricmuffin11, Khazar2, Nordevx, Lugia2453, LordMike, Joeinwiki, Bradfordtoney, Bradfordtoney, Greengreengreenred, One Of Seven Billion, Mnater900, Thomas W. Wilson, KasparBot, AqwertApple AqwertApple and Anonymous: 143 Perfboard Source: http://en.wikipedia.org/wiki/Perfboard?oldid=645008431 Contributors: Klaus Leiss, Rich Farmbrough, Mandarax, George George Leung, Leung, Toffile, Toffile, 48v, Tony1, Tony1, SmackBot, SmackBot, Kostmo, Kostmo, Alphatho Alphathon, n, CmdrObot, CmdrObot, Nick Nick Number, Number, Magioladi Magioladitis, tis, CommonsD CommonsDelin elinker ker,, Silverxx Silverxxx, x, Kyle the bot, Andy Dingley, Darsie from german wiki pedia, Vdaghan, Addbot, Mortense, Tothwolf, Ettrig, Yobot, Jim1138, Erik9bot, Eric.archer, Rnabioullin, Rnabioullin, Joeinwiki and Anonymous: 21 Contributors: Heron, Mahjongg, Kosebamse, Ahoerste Stripboard Source: http://en.wikipedia.org/wiki/Stripboard?oldid=657003737 Contributors: meier, Glenn, GRAHAMUK, Lewisdg2000, Klaus Leiss, Hubertus~enwiki, Ds13, Mboverl M boverload, oad, Bobblewik, MementoVivere, Rich Farmbrough, Plugwash, Hooperbloob, Hooperbloob, MarkGallagher, Goldom, Nightstallion, MartinSpacek, Pol098, Mandarax, Josh Parris, Ian Dunster, Toffile, Pelago, Vivenot, Vivenot, DVD R W, SmackBot, Chris the speller, Thumperward, Kostmo, Audriusa, Ghiraddje, Ghiraddje, S Roper, Gregs, Scarletman, Tawkerbot2, Tawkerbot2, Ilikefood, Electron9, James086, R ehnn83, VoABot II, R'n'B, Juliancolton, VolkovBot, Hqb, SelketBot, Inductiveload, Andy Dingley, Biscuittin, Phe-bot, Berserkerus, Leushenko, Wdwd, ClueBot, Tfitzp, DragonBot, Chiefmanzzz, Addbot, Mortense, Tothwolf, Beddingplane, Yobot, Crispmuncher, Piano non troppo, Teleprinter Sleuth, Gwideman, Louperibot, Vrenator, AvicAWB, Eric.archer, Northgeer, ClueBot NG, Shanaey, MerlIwBot, Helpful Pixie Bot, BG19bot, Klilidiplomus, Joeinwiki, JamesMoose, Ginsuloft, Kindiana and Anonymous: 52 Analogue electronics Source: http://en.wikipedia.org/wiki/Analogue_electronics?oldid=666692020 Contributors: Contributors: Heron, Jitse Niesen, Alan Liefting, Alf Boggis, Mhowkins, Edward Z. Yang, Afed, Bobo192, Timl, Pearle, TheParanoidOne, TheParanoidOne, Wtshymanski, Cburnett, RJFJR, Sleigh, Stemonitis, Simetrical, SCEhardt, BD2412, George Burgess, ABot, FlaBot, Kerowyn, Gurch, Chobot, RussBot, Sanguinity, Jpbowen, EAderhold, Light current, Fourohfour, Neurogeek, Allens, SmackBot, Thorseth, Mdd4696, Evanreyes, Commander Keane bot, Lindosland, KaiserbBot, Radagast83, Jaganath, Makyen, Dicklyon, ShelfSkewed, Casper2k3, AndrewHowse, A876, Corpx, Thijs!bot, Mbell, Nick Number, Alphachimpbot, JAnDbot, R'n'B, H ans Dunkelberg, Moqueur roux, STBotD, Derfee, ICE77, Pgavin, AlnoktaBOT, TXiKiBoT, Garett Long, Audioamp, Tresiden, Nestea Zen, Jp314159, Masgatotkaca, Steven Zhang, Binksternet, Brews ohare, JDPhD, Gnowor, Addbot, Hence Jewish Anderstein, GyroMagician, Oldmountains, Quercus solaris, Lightbot, Luckas-bot, Xqbot, FaleBot, Edwardkwt, RibotBOT, Kyng, FrescoBot, Micoru, Jschnur, RjwilmsiBot, AndyHe829, Donner60, 28bot, ClueBot NG, MerlIwBot, Helpful Helpful Pixie Bot, Wbm1058, KLBot2, Teepu Ahmad, Justincheng12345-bot, Justincheng12345-bot, Pratyya Ghosh, Skr15081997 and Anonymous: 81 Digital electronics Source: http://en.wikipedia.org/wiki/Digital_electronics?oldid=661595084 Contributors: AxelBoldt, Zundark, Perry Bebbington, Mudlock, Ray Van De Walker, Heron, Michael Hardy, Julesd, Glenn, Smack, Colin Marquardt, Omegatron, Darkhorse, Joy, Raul654, Robbot, Jredmond, Altenmann, Jondel, Connelly, Alf Boggis, DavidCary, Philwelch, Robert Southworth, Nayuki, VampWillow, Nickptar, Peter bertok, McCart42, Grunt, *drew, El C, Edward Z. Yang, Sietse Snel, Art LaPella, Viames, Matt Britt, Chbarts, Hooperbloob, Jakew, Atlant, Riana, Eagleamn, Wtshymanski, Cburnett, Versageek, Gene Nygaard, Blaxthos, LOL, Cbdorsett, Bbatsell, Graham87, Rjwilmsi, Vegaswikian, Ddawson, ScottJ, Mirror Vax, Gurch, Chobot, Quicksilvre, YurikBot, Wavelength, Borgx, RussBot, Polluxian, Rsrikanth05, William Caputo, Trovatore, Srinivasasha, Jpbowen, Lomn, Kakero, Light current, Closedmouth, Wbrameld, SmackBot, Derek Andrews, Video99, Pieleric, AnOddName, Commander Keane bot, Lindosland, Chris the speller, Oli Filth, Nbarth, Can't sleep, clown will eat me, JonHarder, LouScheffer, Addshore, Decltype, Jon Awbrey, PerceivingMachine, Dicklyon, Iridescent, Tawkerbot2, Tawkerbot2, Nczempin, Circuit dreamer, Tawkerbot4, Jrgetsin, Epbr123, Nick Number, AntiVandalBot, BokicaK, Guy Macon, Seaphoto, Jtaft, JAnDbot, Magioladitis, Meredyth, Vssun, Hbent, ENIAC, MartinBot, STBot, R'n'B, Highqueue, Mu li, Vanished user 342562, ARTE, Bigdumbdinosaur, ICE77, Indubitably, AlnoktaBOT, Kyle the bot, TXiKiBoT, Mamidanna, Murugango, Jackfork, Softtest123, Haseo9999, Audioamp, SieBot, Edd Swain, Flyer22, Universalcosmos, Universalcosmos, Lightmouse, Extreme BS, Cacycle test, CultureDrone, Pinkadelica, WimdeValk, ClueBot, Jbvogel, Updatepontus, Blanchardb, Tachasmo, Spud4dinner, Alexbot, SchreiberBike, BasilF, JDPhD, Clintkohl, XLinkBot, Gnowor, Jovianeye, Insertesla, Little Mountain 5, NellieBly, Vianello, Spacedriver34545, Shrena modi, Addbot, Mortense, Fieldday-sunday, Shyso~enwiki, MrOllie, Oldmountains, Favonian, Quercus solaris, 5 albert square, Lightbot, Hoenny, Leovizza, Kepry, Legobot, आशीष भटनागर, Yobot, OrgasGirl, Fraggle81, KamikazeBot, Eric-Wester, Ulric1313, Sidfilter, Materialscientist, Materialscientist, Лев Дубовой, RibotBOT, Elep2009, FrescoBot, Mustimp, BenzolBot, Bexigao, MondalorBot, Joshuachohan, SpaceFlight89, Strenshon, RobinK, Ofir michael, Merlion444, Knoppson, Lotje, Onel5969, J36miles, Logical Cowboy, The Mysterious El Willstro, Fæ, Odyssoma, Alan ffm, ArachanoxReal, Artsanta-NJITWILL, LordJeff, DmitriG NJITWILL, ClueBot NG, Matthiaspaul, Widr, Aaidilamindar, Aaidilamindar, Helpful Helpful Pixie Bot, Egwu nnanna, Wbm105 8, Benzband, Manu31415, CitationCleanerBot, CitationCleanerBot, Tony Tan, EnzaiBot, Deathlasersonline, FaerieChilde, Tentinator, Tentinator, Inaaaa, Hoy smallfry, Drmajidn and Anonymous: 246
16.9.2 16.9.2 Imag Images es •
File:153056995_5ef8b01016_o.jpg Source:
https://upload.wikimedia.org/wikipedia/commons/6/64/Intel_8742_153056995.jpg Li-
CC BY-SA 2.0 Contributors: http://www.flickr.com/photos/biwook/153056995/ Original artist: Ioan Ioan Sameli cense: CC Contributors: http://www.flickr.com/photos/biwook/153056995/
•
•
•
•
https://upload.wikimedia.org/wikipedia/commons/6/6e/18MHZ_12MHZ_Crystal_ 110.jpg License: CC CC BY 3.0 Contributors: Own Own work Original artist: Vahid Vahid alpha File:1920s_TRF_radio_manufactured_by_Signal.jpg Source: https://upload.wikimedia.org/wikipedia/commons/1/12/1920s_TRF_ radio_manufactured_by_Signal.jpg License: Public Public domain Contributors: Own work Original artist: Armstrong1113149 Armstrong1113149 Contributors: Own File:3Com_OfficeConnect_A File:3Com_OfficeConnect_ADSL_Wireless_ DSL_Wireless_11g_Firewa 11g_Firewall_Router_ ll_Router_2012-10-28-0869.jpg 2012-10-28-0869.jpg Source: https://upload.wikimedia.org/ wikipedia/commons/c/ca/3Com_OfficeConnect_ADSL_Wireless_11g_Firewall_Router_2012-10-28-0869.jpg License: CC0 Contribu Own work Original artist: Slick Slick tors: Own https://upload.wikimedia.org/wikipedia/commons/e/e3/3_Resistors.jpg License: CC CC BY-SA 2.5 Contribu File:3_Resistors.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e3/3_Resistors.jpg Own work Original artist: Afrank99 Afrank99 tors: Own File:18MHZ_12MHZ_Crystal_110.jpg Source:
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
141
File:400_points_breadboar File:400_points_breadboard.jpg d.jpg Source: https://upload.wikimedia.org/wikipedia/commons/7/73/400_points_breadboard.jpg License: CC BY-SA 2.0 Contributors: BREB-01 BREB-01 (Breadboard) Original artist: oomlout oomlout File:555_timer_circuit_per File:555_timer_circuit_perforated_boa forated_board.jpg rd.jpg Source: https://upload.wikimedia.org/wikipedia/commons/3/3f/555_timer_circuit_ perforated_board.jpg License: Public Public domain Contributors: Transferred Transferred from en.wikipedia from en.wikipedia Silverxxx (talk talk).). Original uploader uploader was Silverxxx was Silverxxx at at en.wikipedia en.wikipedia Original artist: Silverxxx ( File:80486DX2_200x.png Source: https://upload.wikimedia.org/wikipedia/commons/2/2b/80486DX2_200x.png License: CC BY-SA 2.5 Contributors: ? ? Original artist: ? ? File:80486dx2-large.jpg Source: https://upload.wikimedia.org/wikipedia/commons/0/02/80486dx2-large.jpg License: CC-BY-SA-3.0 ? Contributors: ? Original artist: ? File:ACtoDCpowersupply.png Source: https://upload.wikimedia.org/wikipedia/commons/4/4e/ACtoDCpowersupply.png License: CC BY 3.0 Contributors: Transferred Transferred from en.wikipedia from en.wikipedia to to Commons. Original artist: JaunJimene J aunJimenezz (talk (talk)) (Uploads (Uploads)) File:A_few_Jumper_Wires.jpg Source: https://upload.wikimedia.org/wikipedia/commons/5/5c/A_few_Jumper_Wires.jpg License: CC BY-SA 2.0 Contributors: A A few Jumper Wires Original artist: oomlout oomlout File:Aplikimi_i_feriteve.png Source: https://upload.wikimedia.org/wikipedia/commons/2/27/Aplikimi_i_feriteve.png https://upload.wikimedia.org/wikipedia/commons/2/27/Aplikimi_i_feriteve.png License: CCBY CCBYSA 3.0 Contributors: Own Own work Original artist: FIEK-Kompjuterike FIEK-Kompjuterike File:Axial_electrolytic_ca File:Axial_electrolytic_capacitors.jpg pacitors.jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/b4/Axial_electrolytic_capacitors. jpg License: CC CC BY 3.0 Contributors: Mataresephotos Contributors: http://matarese.com/photo/413-sprague-atom-electrolytics/ Original artist: Mataresephotos File:BJT_NPN_symbol.svg Source: https://upload.wikimedia.org/wikipedia/commons/6/66/BJT_NPN_symbol.svg License: CC BY-S BY-SA A 3.0 3.0 Contributors: The The sour source ce code code of this SVG is ,&,,ss=1#source'>valid. Original artist: Omegatron Omegatron File:BJT_PNP_symbol.svg Source: https://upload.wikimedia.org/wikipedia/commons/9/9b/BJT_PNP_symbol.svg License: CC BY-S BY-SA A 3.0 3.0 Contributors: The The sour source ce code code of this SVG is ,&,,ss=1#source'>valid. Original artist: Omegatron Omegatron File:Bardeen_Shockley_Brat File:Bardeen_Shockley_Brattain_194 tain_1948.JPG 8.JPG Source: https://upload.wikimedia.org/wikipedia/commons/c/c2/Bardeen_Shockley_ Brattain_1948.JPG License: Public Public domain Contributors: eBay eBay item Original artist: AT&T; AT&T; photographer: Jack St. (last part of name not stamped well enough to read), New York, New York. https://upload.wikimedia.org/wikipedia/commons/2/28/Battery_symbol2.svg License: Public Public domain File:Battery_symbol2.svg Source: https://upload.wikimedia.org/wikipedia/commons/2/28/Battery_symbol2.svg Jacek FH Contributors: Own work Original artist: Jacek https://upload.wikimedia.org/wikipedia/commons/4/47/Breadboard_complex.jpg License: Pub Pub File:Breadboard_complex.jpg Source: https://upload.wikimedia.org/wikipedia/commons/4/47/Breadboard_complex.jpg lic domain Contributors: ? Original artist: ? ? Contributors: ? File:Breadboard_scheme.svg Source: https://upload.wikimedia.org/wikipedia/commons/e/ec/Breadboard_scheme.svg License: CCBY-SA-3.0 Contributors: Breadboard-144dpi.gif Original artist: Breadboard-144dpi.gif: Breadboard-144dpi.gif: en:User:Waveguy https://upload.wikimedia.org/wikipedia/commons/5/5a/Capacitor.jpg License: CC CC BY-SA 3.0 Contributors: Contributors: File:Capacitor.jpg Source: https://upload.wikimedia.org/wikipedia/commons/5/5a/Capacitor.jpg Transferred from en.wikipedia from en.wikipedia;; transferred to Commons by User:Sreejithk2000 by User:Sreejithk2000 using using CommonsHelper CommonsHelper.. Christensen at en.wikipedia en.wikipedia Original artist: Daniel Christensen at https://upload.wikimedia.org/wikipedia/commons/c/c1/ Source: File:CapacitorHydraulicAn File:CapacitorHydraulicAnalogyAnima alogyAnimation.gif tion.gif CapacitorHydraulicAnalogyAnimation.gif License: CC0 CC0 Contributors: Own Own work Original artist: Sbyrnes321 Sbyrnes321 https://upload.wikimedia.org/wikipedia/commons/a/a8/Capacitor_equivalent_circuits. File:Capacitor_equivalent_ File:Capacitor_equivalent_circuits.svg circuits.svg Source: https://upload.wikimedia.org/wikipedia/commons/a/a8/Capacitor_equivalent_circuits. svg License: CC0 CC0 Contributors: Own Own work Original artist: GorillaWarfare GorillaWarfare File:Capacitor_schematic_ File:Capacitor_schematic_with_dielectr with_dielectric.svg ic.svg Source: https://upload.wikimedia.org/wikipedia/commons/c/cd/Capacitor_schematic_ with_dielectric.svg License: CC CC BY-SA 3.0 Contributors: self-made self-made SVG version of Image:Dielectric.png of Image:Dielectric.png,, incorporating incorporating Image:Capacitor Image:Capacitor schematic.svg as schematic.svg as its base. Original artist: Papa Papa November File:Capacitors_(7189597 File:Capacitors_(7189597135).jpg 135).jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/b9/Capacitors_%287189597135%29. jpg License: CC CC BY-SA 2.0 Contributors: 12739s 12739s Original artist: Eric Eric Schrader from Schrader from San Francisco, CA, United States https://upload.wikimedia.org/wikipedia/commons/f/fa/Capacitors_in_parallel.svg License: CC CC File:Capacitors_in_parallel.sv File:Capacitors_in_parallel.svg g Source: https://upload.wikimedia.org/wikipedia/commons/f/fa/Capacitors_in_parallel.svg BY-SA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library. Original artist: Omegatron Omegatron File:Capacitors_in_series.svg Source: https://upload.wikimedia.org/wikipedia/commons/7/75/Capacitors_in_series.svg License: CC BY-SA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library. Original artist: Omegatron Omegatron File:Carbon-resistor-TR212-1.jpg Source: https://upload.wikimedia.org/wikipedia/commons/d/d4/Carbon-resistor-TR212-1.jpg Li CC BY-SA 3.0 Contributors: Own work Original artist: Shaddack Shaddack cense: CC Contributors: Own File:Choke_electronic_component_Epcos_2x47mH_600mA_common_mode.jpg Source: https://upload.wikimedia.org/wikipedia/ commons/4/43/Choke_electronic_component_Epcos_2x47mH_600mA_common_mode.jpg License: Public domain Contributors: Photographed by Mike1024 by Mike1024 Original artist: Mike1024 Mike1024 File:Cmos-chip_structure_in_2000 File:Cmos-chip_struct ure_in_2000s_(en).svg s_(en).svg Source: https://upload.wikimedia.org/wikipedia/commons/e/ee/Cmos-chip_structure_ in_2000s_%28en%29.svg License: CCBY CCBY 2.5 2.5 Contributors: selfmade (from (fromuni univers versity ity scripts scripts and scientifi scientificc papers) papers) Original CepheiOriginal artist: artist: Cepheiden CC-BY-SA-3.0 Contributors: ? File:Cmosic.JPG Source: https://upload.wikimedia.org/wikipedia/commons/a/a2/Cmosic.JPG License: CC-BY-SA-3.0 Users Washio,, Oliverdl Oliverdl on on en.wikipedia en.wikipedia Original artist: Users Washio File:Common_Base_amplifier.png Source: https://upload.wikimedia.org/wikipedia/commons/9/9b/Common_Base_amplifier.png Li CC-BY-SA-3.0 Contributors: ? Original artist: ? ? cense: CC-BY-SA-3.0 Contributors: ?
142
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS
•
File:Commons-logo.svg Source: https://upload.wikimedia.org/wikipedia/en/4/4a/Commons-logo.svg https://upload.wikimedia.org/wikipedia/en/4/4a/Commons-logo.svg License: ? ? Contributors: ? ? Original
? artist: ?
•
https://upload.wikimedia.org/wikipedia/commons/e/ea/Componentes.JPG License: Public Public domain Con File:Componentes.JPG Source: https://upload.wikimedia.org/wikipedia/commons/e/ea/Componentes.JPG Kae tributors: Own work Original artist: Kae
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
https: File:Computerplatine_Wire-wrap_backpla File:Computerplatine_Wire-wrap_backplane_detail_Z ne_detail_Z80_Doppel-Europa-Format_19 80_Doppel-Europa-Format_1977_(close_ 77_(close_up).jpg up).jpg Source: //upload.wikimedia.org/wikipedia/commons/e/e6/Computerplatine_Wire-wrap_backplane_detail_Z80_Doppel-Europa-Format_ 1977_%28close_up%29.jpg License: CC CC BY-SA 3.0 Contributors: Own work (own photo) Original artist: Wikinaut Wikinaut Contributors: Own https://upload.wikimedia.org/wikipedia/commons/3/31/ File:Condensador_electrolitico_150_microF_400V.jpg Source: Condensador_electrolitico_150_microF_400V.jpg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Willtron Willtron File:Condensators.JPG Source: https://upload.wikimedia.org/wikipedia/commons/d/de/Condensators.JPG License: CC-BY-SA-3.0 de:Bild:Kondensatoren.JPG,, uploaded there by de:Benutzer:Honina by de:Benutzer:Honina Original artist: de:Benutzer:Aka de:Benutzer:Aka Contributors: de:Bild:Kondensatoren.JPG File:Condensor_bank_150k File:Condensor_bank_150kV_-_75MVAR.jpg V_-_75MVAR.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/ed/Condensor_bank_ 150kV_-_75MVAR.jpg License: Public Public domain Contributors: Own work Original artist: Philippe Philippe Mertens Contributors: Own File:CopperCladPerfboard_1.png Source: https://upload.wikimedia.org/wikipedia/commons/b/b7/CopperCladPerfboard_1.png Li CC-BY-SA-3.0 Contributors: Own work Original artist: Klaus Klaus - Günter Leiss (Klaus_Leiss ( Klaus_Leiss)) cense: CC-BY-SA-3.0 Contributors: Own File:CopperCladPerfboard_2.png Source: https://upload.wikimedia.org/wikipedia/commons/e/e1/CopperCladPerfboard_2.png Li CC-BY-SA-3.0 Contributors: Own work Original artist: Klaus Klaus - Günter Leiss (Klaus_Leiss ( Klaus_Leiss)) cense: CC-BY-SA-3.0 Contributors: Own File:Cordwoodcircuit.agr.jp File:Cordwoodcircuit.agr.jpg g Source: https://upload.wikimedia.org/wikipedia/commons/c/c3/Cordwoodcircuit.agr.jpg License: CC BY 2.5 Contributors: Self-published Self-published work by ArnoldReinhold by ArnoldReinhold Original artist: ArnoldReinhold File:Current_notation.svg Source: https://upload.wikimedia.org/wikipedia/commons/2/2e/Current_notation.svg License: CC BY 3.0 Conventional_Current.png by User:Romtobbi User:Romtobbi Original artist: User:Flekstro User:Flekstro Contributors: Conventional_Current.png by File:DO-41_Dimensions.svg Source: https://upload.wikimedia.org/wikipedia/commons/a/aa/DO-41_Dimensions.svg License: Public domain Contributors: Own Own work Original artist: Inductiveload Inductiveload File:Danotherm_HS50_p File:Danotherm_HS50_power_resistor.j ower_resistor.jpg pg Source: https://upload.wikimedia.org/wikipedia/commons/a/a1/Danotherm_HS50_ power_resistor.jpg License: CC0 CC0 Contributors: {www.danotherm.dk { www.danotherm.dk}} Original artist: Olli Olli Niemitalo File:Darlington_transistor_MJ1000.jpg Source: https://upload.wikimedia.org/wikipedia/commons/d/d9/Darlington_transistor_ MJ1000.jpg License: Attribution Attribution Contributors: thomy_pc thomy_pc Original artist: thomy_pc thomy_pc https://upload.wikimedia.org/wikipedia/commons/d/d5/Diode-closeup.jpg License: CCBY-SA2.5 CCBY-SA2.5 Con File:Diode-closeup.jpg Source: https://upload.wikimedia.org/wikipedia/commons/d/d5/Diode-closeup.jpg from en.wikipedia to to Commons. Original artist: The The original uploader uploader was Morcheeba was Morcheeba at at English English Wikipedia tributors: Transferred from en.wikipedia File:Diode-english-text.svg Source: https://upload.wikimedia.org/wikipedia/commons/8/8e/Diode-english-text.svg https://upload.wikimedia.org/wikipedia/commons/8/8e/Diode-english-text.svg License: CC CC BY-SA BY-SA 3.0 Contributors: Own Own work Original artist: Svjo Svjo File:DiodeClamp.png Source: https://upload.wikimedia.org/wikipedia/en/2/2d/DiodeClamp.png License: CC-BY-3.0 Contributors: ? ? Original artist: ? https://upload.wikimedia.org/wikipedia/commons/2/2a/Diode_current_wiki.png License: GFDL GFDL File:Diode_current_wiki.png Source: https://upload.wikimedia.org/wikipedia/commons/2/2a/Diode_current_wiki.png User:Hldsc Contributors: Own work Original artist: User:Hldsc File:Diode_tube_schematic File:Diode_tube_schematic.svg .svg Source: https://upload.wikimedia.org/wikipedia/commons/3/36/Diode_tube_schematic.svg License: CC BY-SA 2.5 Contributors: Own Own work Original artist: Ojibberish Ojibberish Contributors: File:Dioden2.jpg Source: https://upload.wikimedia.org/wikipedia/commons/6/60/Dioden2.jpg License: CC-BY-SA-3.0 Contributors: modified from Dioden.jpg from Dioden.jpg (centimeter (centimeter instead of meter), created by Honina by Honina Original artist: Ulfbastel Ulfbastel https://upload.wikimedia.org/wikipedia/commons/4/4b/Diodes.jpg License: CCBY-SA3.0 CCBY-SA3.0 Contributors: ? ? Orig File:Diodes.jpg Source: https://upload.wikimedia.org/wikipedia/commons/4/4b/Diodes.jpg ? inal artist: ? File:Double_side_PCB_process File:Double_side_PCB_process_flow_char _flow_chart.png t.png Source: https://upload.wikimedia.org/wikipedia/en/6/61/Double_side_PCB_ process_flow_chart.png License: CC-BY-3.0 CC-BY-3.0 Contributors: Gliffy Flow chart software Original artist:
Dcshank •
•
•
•
File:Drosselspule_im_Umspa File:Drosselspule_im_Umspannwerk_Bisam nnwerk_Bisamberg.jpg berg.jpg Source: https://upload.wikimedia.org/wikipedia/commons/8/8a/Drosselspule_
im_Umspannwerk_Bisamberg.jpg License: CC CC BY-SA 3.0 Contributors: Own work Original artist: Mario Mario Sedlak (talk) File:EPROM_Microchip_Sup File:EPROM_Microchip_SuperMacro.jpg erMacro.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/ee/EPROM_Microchip_ SuperMacro.jpg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Zephyris Zephyris File:Edit-clear.svg Source: https://upload.wikimedia.org/wikipedia/en/f/f2/Edit-clear.svg License: Public domain Contributors: The Tango! Desktop Project . Original artist: The people from the Tango! project. project . And according to the meta-data in the file, specifically: “Andreas Nilsson, and Jakub Steiner (although (although minimally).” https://upload.wikimedia.org/wikipedia/en/a/a6/Electrolytic_capacitor.jpg License: CC-BY-3.0 CC-BY-3.0 File:Electrolytic_capacit File:Electrolytic_capacitor.jpg or.jpg Source: https://upload.wikimedia.org/wikipedia/en/a/a6/Electrolytic_capacitor.jpg Contributors:
photo Original artist:
Vahid alpha •
https://upload.wikimedia.org/wikipedia/commons/9/91/Electromagnetism.svg License: CC-BY-SA CC-BY-SA File:Electromagnetism.svg Source: https://upload.wikimedia.org/wikipedia/commons/9/91/Electromagnetism.svg 3.0 Contributors: Image:Electromagnetism.png Image:Electromagnetism.png Original artist: User:Stannered User:Stannered
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
•
•
•
•
•
•
•
•
•
•
•
•
•
143
https://upload.wikimedia.org/wikipedia/commons/a/a1/Electronic_component_ inductors.jpg License: CC-BY-SA-3.0 CC-BY-SA-3.0 Contributors: Photograph Photograph Original artist: me me https://upload.wikimedia.org/wikipedia/commons/5/58/Ferrite_bead_no_shell.jpg License: CC CC File:Ferrite_bead_no_shell.jpg Source: https://upload.wikimedia.org/wikipedia/commons/5/58/Ferrite_bead_no_shell.jpg BY-SA 3.0 Contributors: Taken Taken by User:Omegatron by User:Omegatron using using a Canon a Canon Powershot SD110 Original artist: Omegatron Omegatron File:Ferrite_slug_tuned_inductor_with_pot_core.JPG Source: https://upload.wikimedia.org/wikipedia/commons/0/08/Ferrite_slug_ tuned_inductor_with_pot_core.JPG License: CC0 CC0 Contributors: Own work Original artist: Chetvorno Chetvorno Contributors: Own https://upload.wikimedia.org/wikipedia/en/4/48/Folder_Hexagonal_Icon.svg License: Cc-by Cc-by File:Folder_Hexagonal_Icon.svg Source: https://upload.wikimedia.org/wikipedia/en/4/48/Folder_Hexagonal_Icon.svg sa-3.0 Contributors: ? ? Original artist: ? ? File:Hf_spoler_og_transfo File:Hf_spoler_og_transformatorer.jpg rmatorer.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e4/Hf_spoler_og_ transformatorer.jpg License: CC-BY-SA-3.0 Contributors: Transferred Transferred from da.wikipedia Original artist: Original uploader was Glenn at Glenn at da.wikipedia da.wikipedia https://upload.wikimedia.org/wikipedia/commons/3/32/HitachiJ100A.jpg License: CC-BY-SA-3.0 CC-BY-SA-3.0 Con File:HitachiJ100A.jpg Source: https://upload.wikimedia.org/wikipedia/commons/3/32/HitachiJ100A.jpg from en.wikipedia Original artist: Original Original uploader was C was C J Cowie at Cowie at en.wikipedia en.wikipedia tributors: Transferred from en.wikipedia File:IGFET_N-Ch_Dep_Labelled.svg Source: https://upload.wikimedia.org/wikipedia/commons/e/e8/IGFET_N-Ch_Dep_Labelled. svg License: Public Public domain Contributors: From From Scratch in Inkcape 0.43 Original artist: jjbeard jjbeard File:IGFET_N-Ch_Enh_Labelled.sv File:IGFET_N-Ch_Enh_Labelled.svg g Source: https://upload.wikimedia.org/wikipedia/commons/6/62/IGFET_N-Ch_Enh_Labelled. svg License: Public Public domain Contributors: ? ? Original artist: ? ? File:IGFET_N-Ch_Enh_Labelled_s File:IGFET_N-Ch_Enh_Labelled_simplified.svg implified.svg Source: https://upload.wikimedia.org/wikipedia/commons/6/61/IGFET_N-Ch_ Enh_Labelled_simplified.svg License: CC BY-SA 3.0 Contributors: on Image:IGFET N-Ch Enh Labelled.svg Original artist: Contributors: Based on Image:IGFET Omegatron File:IGFET_P-Ch_Dep_Labelled.svg Source: https://upload.wikimedia.org/wikipedia/commons/1/1b/IGFET_P-Ch_Dep_Labelled. svg License: Public Public domain Contributors: From From Scratch in Inkcape 0.43 Original artist: jjbeard jjbeard File:IGFET_P-Ch_Enh_Labelled.svg Source: https://upload.wikimedia.org/wikipedia/commons/0/0c/IGFET_P-Ch_Enh_Labelled. svg License: Public Public domain Contributors: From From Scratch in Inkcape 0.43 Original artist: jjbeard jjbeard File:IGFET_P-Ch_Enh_Labelled_sim File:IGFET_P-Ch_Enh_Labelled_simplified.svg plified.svg Source: https://upload.wikimedia.org/wikipedia/commons/c/c4/IGFET_P-Ch_ Enh_Labelled_simplified.svg License: CC BY-SA 3.0 Contributors: on Image:IGFET P-Ch Enh Labelled.svg, Labelled.svg, Original artist: Contributors: Based on Image:IGFET User:Omegatron File:Inductor.svg Source: https://upload.wikimedia.org/wikipedia/commons/4/4b/Inductor.svg License: Public domain Contributors: Made in Inkscape 0.4 3 from scratch Original artist: Jjbeard Jjbeard File:Electronic_component File:Electronic_component_inductors _inductors.jpg .jpg Source:
File:InductorSignalFilter1 File:InductorSignalFilter1.png .png Source: https://upload.wikimedia.org/wikipedia/en/2/2f/InductorSignalFilter https://upload.wikimedia.org/wikipedia/en/2/2f/InductorSignalFilter1.png 1.png License: CC-BY-3.0 CC-BY-3.0
? Contributors: ? Original artist: ? •
https://upload.wikimedia.org/wikipedia/en/d/d7/InductorSignalFilter2.png License: CC-BY-3.0 CC-BY-3.0 File:InductorSignalFilter2 File:InductorSignalFilter2.png .png Source: https://upload.wikimedia.org/wikipedia/en/d/d7/InductorSignalFilter2.png
? Contributors: ? Original artist: ? •
•
•
•
•
•
•
•
•
•
File:Inductors_in_parallel File:Inductors_in_parallel.svg .svg Source: https://upload.wikimedia.org/wikipedia/commons/e/e8/Inductors_in_parallel.svg License: CC BY-SA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library. Original artist: Omegatron Omegatron File:Inductors_in_series.sv File:Inductors_in_series.svg g Source: https://upload.wikimedia.org/wikipedia/commons/f/ff/Inductors_in_series.svg License: CC BYSA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library . Original artist: Omegatron Omegatron File:Intel_8742_153056995.jpg Source:
https://upload.wikimedia.org/wikipedia/commons/6/64/Intel_8742_153056995.jpg License: CC BY-SA 2.0 Contributors: http://www.flickr.com/photos/biwook/153056995/ http://www.flickr.com/photos/biwook/153056995/ Original artist: Ioan Ioan Sameli File:JFET_N-Channel_Labelled.svg Source: https://upload.wikimedia.org/wikipedia/commons/4/46/JFET_N-Channel_Labelled.svg Public domain Contributors: From From Scratch in Inkcape 0.43 Original artist: jjbeard jjbeard License: Public File:JFET_P-Channel_Labelled.svg Source: https://upload.wikimedia.org/wikipedia/commons/0/09/JFET_P-Channel_Labelled.svg Public domain Contributors: From From Scratch in Inkcape 0.43 Original artist: jjbeard jjbeard License: Public CC BY-SA 2.5 File:KTSU_resistors.jpg Source: https://upload.wikimedia.org/wikipedia/commons/1/10/KTSU_resistors.jpg License: CC Сергей Филатов Contributors: ru.wikipedia Original artist: Сергей File:Kicad_Eeschema_sc File:Kicad_Eeschema_screenshot.jpg reenshot.jpg Source: https://upload.wikimedia.org/wikipedia/commons/d/d3/Kicad_Eeschema_screenshot. jpg License: GPL GPL Contributors: the the English language Wikipedia (log (log)) Original artist: en:User:Brengi en:User:Brengi https://upload.wikimedia.org/wikipedia/commons/9/92/Kicad_Pcbnew3D_screenshot. File:Kicad_Pcbnew3D_sc File:Kicad_Pcbnew3D_screenshot.jpg reenshot.jpg Source: https://upload.wikimedia.org/wikipedia/commons/9/92/Kicad_Pcbnew3D_screenshot. jpg License: GPL GPL Contributors: ? ? Original artist: ? ? https://upload.wikimedia.org/wikipedia/commons/b/ba/Kicad_Pcbnew_screenshot.jpg LiFile:Kicad_Pcbnew_screens File:Kicad_Pcbnew_screenshot.jpg hot.jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/ba/Kicad_Pcbnew_screenshot.jpg GPL Contributors: ? ? Original artist: ? ? cense: GPL https://upload.wikimedia.org/wikipedia/en/4/42/Kilby_solid_circuit.jpg License: Fair Fair use Contrib File:Kilby_solid_circuit.jpg Source: https://upload.wikimedia.org/wikipedia/en/4/42/Kilby_solid_circuit.jpg utors:
•
•
http://www.ti.com/corp/docs/kilbyctr/downloadphotos.shtml Original artist: Texas Instruments File:Kreuzwickelspule.png Source: https://upload.wikimedia.org/wikipedia/commons/6/69/Kreuzwickelspule.png License: Public domain Contributors: http://de.wikipedia.org/wiki/Datei:Kreuzwickelspule.png Original artist: de:User:PeterFrankfurt de:User:PeterFrankfurt Contributors: http://de.wikipedia.org/wiki/Datei:Kreuzwickelspule.png File:Laser_Trimmed_Precision File:Laser_Trimmed_Precision_Thin_Film_ _Thin_Film_Resistor_N Resistor_Network.JPG etwork.JPG Source: https://upload.wikimedia.org/wikipedia/commons/b/ b1/Laser_Trimmed_Precision_Thin_Film_Resistor_Network.JPG License: CC BY-SA 4.0 Contributors: screenshot from http://www. from http://www. eevblog.com/forum/blog/eevblog-730-thin-film-resistor-networks/ Original artist: Binarysequenc Binarysequencee
144 •
•
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS File:Leidse_flessen_Museum_ File:Leidse_flessen_Museum_Boerhave_d Boerhave_december_2003 ecember_2003_2.jpg _2.jpg Source: https://upload.wikimedia.org/wikipedia/commons/2/22/ Leidse_flessen_Museum_Boerhave_december_2003_2.jpg License: CC-BY-SA-3.0 Contributors: Transferred from en.wikipedia to Commons. Alvinrune at at Original Image: en::Image:Leidse Image: en::Image:Leidse flessen Museum Boerhave december 2003.jpg Original 2003.jpg Original artist: The original uploader was Alvinrune English Wikipedia https://upload.wikimedia.org/wikipedia/en/6/63/Micro_switch.jpg License: CC-BY-3.0 CC-BY-3.0 Contributors: Contributors: File:Micro_switch.jpg Source: https://upload.wikimedia.org/wikipedia/en/6/63/Micro_switch.jpg photo
Original artist:
Vahid alpha •
https://upload.wikimedia.org/wikipedia/commons/5/5c/Microchips.jpg License: CC-BY-SA-3.0 CC-BY-SA-3.0 Contribu File:Microchips.jpg Source: https://upload.wikimedia.org/wikipedia/commons/5/5c/Microchips.jpg Transferred from en.wikipedia from en.wikipedia;; transferred to Commons by User:TenIslands by User:TenIslands using using CommonsHelper CommonsHelper.. Original artist: Original Original uploader tors: Transferred was Zephyris was Zephyris at at en.wikipedia en.wikipedia
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
File:Mouse_printed_circuit_board_both_sides_IMG_0959a.JPG Source:
https://upload.wikimedia.org/wikipedia/commons/a/ab/ Mouse_printed_circuit_board_both_sides_IMG_0959a.JPG License: CC0 CC0 Contributors: Own work Original artist: Own Own work Contributors: Own File:Mouse_printed_circuit File:Mouse_printed_circuit_board_com _board_component_side_ ponent_side_IMG_0952 IMG_0952_-_d.JPG _-_d.JPG Source: https://upload.wikimedia.org/wikipedia/ commons/4/43/Mouse_printed_circuit_board_component_side_IMG_0952_-_d.JPG License: CC0 Contributors: Own work Original Eddau artist: Eddau File:Mylar-film_oil-filled_low-inductance_capacitor_ File:Mylar-film_oil-filled_low-inductance_ capacitor_6.5_MFD_ 6.5_MFD_@_5000_V @_5000_VDC.jpg DC.jpg Source: https://upload.wikimedia.org/ wikipedia/commons/2/2d/Mylar-film_oil-filled_low-inductance_capacitor_6.5_MFD_%40_5000_VDC.jpg License: CC0 Contributors: Contributors: Own work Original artist: Zaereth Zaereth File:NPN_common_emitter_AC.svg Source: https://upload.wikimedia.org/wikipedia/commons/8/8c/NPN_common_emitter_AC.svg GFDL Contributors: Own Own work Original artist: Zedh Zedh License: GFDL File:Ni000_Hollow_rivet File:Ni000_Hollow_rivets_for_Plat s_for_Plated_Throug ed_Through_Hole_PCB_ h_Hole_PCB_repair_and_sm repair_and_small-scale_manufac all-scale_manufacturing.png turing.png Source: https: //upload.wikimedia.org/wikipedia/commons/7/7a/Ni000_Hollow_rivets_for_Plated_Through_Hole_PCB_repair_and_small-scale_ manufacturing.png License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Ossip Ossip Groth File:Nuvola_apps_ksim.png Source: https://upload.wikimedia.org/wikipedia/commons/8/8d/Nuvola_apps_ksim.png License: LGPL David Vignoni / Vignoni / ICON KING Contributors: http://icon-king.com Original artist: David File:Odporová_dekáda_KURBELWIDERSTAND_(A).jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/b8/ Odporov%C3%A1_dek%C3%A1da_KURBELWIDERSTAND_%28A%29.jpg License: CC0 Contributors: Own work Original artist: Qwertz0451 File:Ohm’{}s_Law_with_Voltage_source_TeX.svg Source: https://upload.wikimedia.org/wikipedia/commons/b/b4/Ohm%27s_Law_ with_Voltage_source_TeX.svg License: CC0 CC0 Contributors: Own Own work Original artist: GorillaWarfare GorillaWarfare File:Old_Radio_Resistors.j File:Old_Radio_Resistors.jpg pg Source: https://upload.wikimedia.org/wikipedia/en/d/d4/Old_Radio_Resistors.jpg https://upload.wikimedia.org/wikipedia/en/d/d4/Old_Radio_Resistors.jpg License: PD PD Contrib ? Original artist: ? ? utors: ? File:OscillatorSchematic File:OscillatorSchematic.png .png Source: https://upload.wikimedia.org/wikipedia/en/2/2b/OscillatorSchematic.png License: CC-BY-3.0 ? Contributors: ? Original artist: ? File:PCB_Carbon_Printed_Resis File:PCB_Carbon_Printed_Resistor.jpg tor.jpg Source: https://upload.wikimedia.org/wikipedia/commons/8/83/PCB_Carbon_Printed_ Resistor.jpg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Altzone Altzone File:PCB_Spectrum.jpg Source: https://upload.wikimedia.org/wikipedia/commons/6/65/PCB_Spectrum.jpg License: CC-BY-SA-3.0 Bill Bertram Contributors: Bill Bertram Original artist: Bill File:PCB_copper_layer_electro File:PCB_copper_layer_electroplating_ma plating_machine.jpg chine.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e8/PCB_copper_ layer_electroplating_machine.jpg License: CC CC BY-SA 3.0 Contributors: Template:Innoquick Electronics Electronics Limited (www.iqpcb.com) Orig Swoolverton inal artist: Swoolverton File:PCB_design_and_realisation_smt_and_through_hole.png Source: https://upload.wikimedia.org/wikipedia/commons/6/67/ PCB_design_and_realisation_smt_and_through_hole.png License: Public Public domain Contributors: Photographed Photographed by User:Mike1024 by User:Mike1024 Original User Mike1024 artist: User Mike1024 File:PCBs_hanging_in_elect File:PCBs_hanging_in_electroplating_ma roplating_machine.jpg chine.jpg Source: https://upload.wikimedia.org/wikipedia/commons/9/92/PCBs_hanging_ in_electroplating_machine.jpg License: CC CC BY-SA 3.0 Contributors: Template:Innoquick Electronics Electronics Limited(www.iqpcb.com) Original Swoolverton artist: Swoolverton File:PExdcr01CJC.jpg Source: https://upload.wikimedia.org/wikipedia/commons/1/1c/PExdcr01CJC.jpg License: CC-BY-SA-3.0 from en.wikipedia Original artist: Original Original uploader was C was C J Cowie at Cowie at en.wikipedia en.wikipedia Contributors: Transfered from en.wikipedia https://upload.wikimedia.org/wikipedia/commons/3/35/Parallel_plate_capacitor.svg License: File:Parallel_plate_capacit File:Parallel_plate_capacitor.svg or.svg Source: https://upload.wikimedia.org/wikipedia/commons/3/35/Parallel_plate_capacitor.svg Public domain Contributors: own drawing, done in Inkscape 0.44 Original artist: inductiveload inductiveload Contributors: own File:Perf+_prototyping_board File:Perf+_prototyping_board.png .png Source: https://upload.wikimedia.org/wikipedia/commons/8/86/Perf%2B_prototyping_board.png CC BY-SA 4.0 Contributors: Own work Original artist: Kindiana Kindiana License: CC Contributors: Own File:Philco_Surface_Barrier_ File:Philco_Surface_Barrier_transistor=1 transistor=1953.jpg 953.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e8/Philco_Surface_ Barrier_transistor%3D1953.jpg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Historianbuff File:Photo-SMDcapacitors.jpg Source: https://upload.wikimedia.org/wikipedia/commons/8/86/Photo-SMDcapacitors.jpg License: Public domain Contributors: ? Original artist: ? ? Contributors: ? File:Plattenkondensator_ File:Plattenkondensator_hg.jpg hg.jpg Source: https://upload.wikimedia.org/wikipedia/commons/d/d3/Plattenkondensator_hg.jpg License: CC BY 3.0 Contributors: Grobe (talk) File:Polyester_film_capacit File:Polyester_film_capacitor.jpg or.jpg Source: https://upload.wikimedia.org/wikipedia/commons/1/13/Polyester_film_capacitor.jpg Li CC BY 3.0 Contributors: http://matarese.com/photo/418-mallory-150-capacitor/ Original artist: Mataresephotos Mataresephotos cense: CC
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
145
File:Question_book-new.svg Source: https://upload.wikimedia.org/wikipedia/en/9/99/Question_book-new.svg License: Cc-by-sa-3.0
Contributors:
•
•
•
•
•
•
•
•
Created from from scratch in Adobe Illustrator. Based on Image:Question on Image:Question book.png created book.png created by User:Equazcion by User:Equazcion Original artist: Tkgd2007 File:RC_switch.svg Source: https://upload.wikimedia.org/wikipedia/commons/9/90/RC_switch.svg License: CC BY-SA 3.0 Contribu Own work Original artist: PureCore PureCore tors: Own File:RG-59.jpg Source: https://upload.wikimedia.org/wikipedia/commons/7/73/RG-59.jpg License: CC-BY-SA-3.0 Contributors: ? ? Original artist: ? Contributors: File:RUS-IC.JPG Source: https://upload.wikimedia.org/wikipedia/commons/7/7e/RUS-IC.JPG License: CC BY-SA 3.0 Contributors: Own work Original artist: Sergei Sergei Frolov,Soviet Frolov,Soviet Calculators Collection,http://www.rk86.com/frolov/ Collection, http://www.rk86.com/frolov/ https://upload.wikimedia.org/wikipedia/commons/6/69/Relogio_binario.JPG License: Public Public domain File:Relogio_binario.JPG Source: https://upload.wikimedia.org/wikipedia/commons/6/69/Relogio_binario.JPG ? Contributors: ? Original artist: ? File:Replica-of-first-transistor.jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/bf/Replica-of-first-transistor.jpg Li Public domain Contributors: ? ? Original artist: ? ? cense: Public File:ResistanceHydraulicAnalogy2.svg https://upload.wikimedia.org/wikipedia/commons/1/19/ Source: ResistanceHydraulicAnalogy2.svg License: CC0 CC0 Contributors: Own work Original artist: Sbyrnes321 Sbyrnes321 Contributors: Own Contributors: File:Resistor.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e6/Resistor.jpg License: CC BY-SA 3.0 Contributors: Own work Original artist: Nunikasi Nunikasi File:Resistor_shaped_Indu File:Resistor_shaped_Inductors.png ctors.png Source: https://upload.wikimedia.org/wikipedia/en/f/f1/Resistor_shaped_Inductors.png License: CC-BY-3.0 Contributors: photo Original artist:
Vahid alpha •
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
File:Resistors.svg Source: https://upload.wikimedia.org/wikipedia/commons/2/25/Resistors.svg License: Public
domain Contributors: Contributors: Original work Original artist: Justin Justin Force https://upload.wikimedia.org/wikipedia/commons/f/f8/Resistors_%281%29.jpg License: CC-BY-SA-3.0 CC-BY-SA-3.0 File:Resistors_(1).jpg Source: https://upload.wikimedia.org/wikipedia/commons/f/f8/Resistors_%281%29.jpg from en.wikipedia;; transferred to Commons by User:Sfan00_IMG by User:Sfan00_IMG using using CommonsHelper CommonsHelper.. Original artist: Orig OrigContributors: Transferred from en.wikipedia inal uploader was Cyp was Cyp at at en.wikipedia en.wikipedia File:Resistors_in_parallel.svg Source: https://upload.wikimedia.org/wikipedia/commons/0/09/Resistors_in_parallel.svg License: CC BY-SA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library. Original artist: Omegatron Omegatron File:Resistors_in_series.svg Source: https://upload.wikimedia.org/wikipedia/commons/1/11/Resistors_in_series.svg License: CC BYSA 3.0 Contributors: This SVG This SVG electrical schematic was schematic was created with the Electrical the Electrical Symbols Library. Library . Original artist: Omegatron Omegatron File:Resistors_on_tape.jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/b1/Resistors_on_tape.jpg License: Public domain Contributors: Own work Original artist: Inductiveload Inductiveload Contributors: Own Contributors: File:Rollspule.jpg Source: https://upload.wikimedia.org/wikipedia/commons/2/2c/Rollspule.jpg License: CC BY-SA 3.0 Contributors: Own work Original artist: HBD20 HBD20 https://upload.wikimedia.org/wikipedia/commons/0/09/S111FlipChipBack.jpg License: CC0 CC0 Con File:S111FlipChipBack.jpg Source: https://upload.wikimedia.org/wikipedia/commons/0/09/S111FlipChipBack.jpg Douglas W. Jones tributors: Own work Original artist: Douglas https://upload.wikimedia.org/wikipedia/commons/c/c8/SI_base_unit.svg License: CCBY-SA3.0 CCBY-SA3.0 Contrib File:SI_base_unit.svg Source: https://upload.wikimedia.org/wikipedia/commons/c/c8/SI_base_unit.svg I (Dono (Dono ( (talk talk)) )) created this work entirely by myself. myself. Base on http://www.newscientist.com/data/images/archive/2622/26221501.jpg on http://www.newscientist.com/data/images/archive/2622/26221501.jpg utors: I Dono (talk talk)) Original artist: Dono ( File:SMD_aufgelötet.jpg Source: https://upload.wikimedia.org/wikipedia/commons/f/fd/SMD_aufgel%C3%B6tet.jpg License: CC BY-SA 3.0 Contributors: Own Own work Original artist: Hutschi Hutschi https://upload.wikimedia.org/wikipedia/en/e/e9/Sil_resistor.png License: PD PD Contributors: Contributors: File:Sil_resistor.png Source: https://upload.wikimedia.org/wikipedia/en/e/e9/Sil_resistor.png I (Nkendrick (Nkendrick ( (talk talk)) )) created this work entirely entirely by myself. Original artist: Nkendrick ( (talk Nkendrick talk)) File:Silicon_chip_3d.png Source: https://upload.wikimedia.org/wikipedia/commons/a/aa/Silicon_chip_3d.png License: Public domain from en.wikipedia Original artist: Original Original uploader was David was David Carron at Carron at en.wikipedia en.wikipedia Later Later version(s) were Contributors: Transferred from en.wikipedia uploaded by Tene by Tene at at en.wikipedia en.wikipedia.. File:Siliconchip_by_shapeshift File:Siliconchip_by_shapeshifter.png er.png Source: https://upload.wikimedia.org/wikipedia/commons/c/c6/Siliconchip_by_shapeshifter. png License: CC CC BY-SA 3.0 Contributors: Transferred from en.wikipedia from en.wikipedia to to Commons. Original artist: David David Carron at Carron at English English Wikipedia Contributors: Transferred File:Simple_electrical_schema File:Simple_electrical_schematic_with_O tic_with_Ohms_law.png hms_law.png Source: https://upload.wikimedia.org/wikipedia/commons/a/a0/Simple_ electrical_schematic_with_Ohms_law.png License: Public Public domain Contributors: Own work Original artist: Danield101 Danield101 Contributors: Own https://upload.wikimedia.org/wikipedia/commons/a/a9/Spider_coil.jpg License: CC CC BY-SA 3.0 Contribu File:Spider_coil.jpg Source: https://upload.wikimedia.org/wikipedia/commons/a/a9/Spider_coil.jpg Spider coil(kit of w:ja: of w:ja:)) Original artist: ? ? tors: Spider File:Splatine.jpg Source: https://upload.wikimedia.org/wikipedia/commons/4/49/Splatine.jpg License: Public domain Contributors: bearbeitet des Bildes Platine.jpg, Platine.jpg, erstellt von Honina am 9. Okt 2003 (CEST) Original artist: Ulfbastel Ulfbastel https://upload.wikimedia.org/wikipedia/commons/7/74/Stranded_lamp_wire.jpg License: Public Public File:Stranded_lamp_wire.jpg Source: https://upload.wikimedia.org/wikipedia/commons/7/74/Stranded_lamp_wire.jpg domain Contributors: Own Own work Original artist: Scott Scott Ehardt https://upload.wikimedia.org/wikipedia/commons/5/51/ Source: File:Streifenrasterleiterplatte_ File:Streifenrasterleiterplatte_IMGP5364 IMGP5364.jpg .jpg Streifenrasterleiterplatte_IMGP5364.jpg License: CC BY-SA 2.0 de Contributors: Own work Original artist: Original uploader was Smial was Smial at at de.wikipedia de.wikipedia
146 •
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
•
CHAPTER 16. DIGITAL DIGITAL ELECTRONICS ELECTRONICS File:Stripboard.jpg Source: https://upload.wikimedia.org/wikipedia/commons/f/f9/Stripboard.jpg https://upload.wikimedia.org/wikipedia/commons/f/f9/Stripboard.jpg License: Public Public
domain Contributors: Contributors: Own work Original artist: Alexander Alexander Jones https://upload.wikimedia.org/wikipedia/commons/5/58/Stripboardexample.jpg License: Public Public do File:Stripboardexample.jpg Source: https://upload.wikimedia.org/wikipedia/commons/5/58/Stripboardexample.jpg main Contributors: Own work Original artist: Alexander Alexander Jones Contributors: Own File:Surface_Mount_Com File:Surface_Mount_Components.jpg ponents.jpg Source: https://upload.wikimedia.org/wikipedia/commons/3/3d/Surface_Mount_Components. jpg License: CC-BY-SA-3.0 CC-BY-SA-3.0 Contributors: en:Image:Surface en:Image:Surface Mount Components.jpg Original artist: en:User:Zephyris en:User:Zephyris File:SydneyWireMill_1913_Canada_a017696.jpg Source: https://upload.wikimedia.org/wikipedia/en/e/e8/SydneyWireMill_1913_ Canada_a017696.jpg License: ? ? Contributors: Source: Library Source: Library and Archiv Archives es Canada Original artist: ? ? File:Symbol_book_class2 File:Symbol_book_class2.svg .svg Source: https://upload.wikimedia.org/wikipedia/commons/8/89/Symbol_book_class2.svg License: CC BY-SA 2.5 Contributors: Mad Mad by Lokal_Profil by Lokal_Profil by by combining: Original artist: Lokal_Profil File:Tantalum_capacitors.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e0/Tantalum_capacitors.jpg License: CC BY 3.0 Contributors: http://matarese.com/photo/419-several-tantalum-capacitors/ Original artist: Mataresephotos Mataresephotos Contributors: File:Testpad.JPG Source: https://upload.wikimedia.org/wikipedia/commons/4/4d/Testpad.JPG License: CC-BY-SA-3.0 Contributors: Own work Original artist: Cschirp Cschirp File:Text_document_with_red_question_mark.svg Source: https://upload.wikimedia.org/wikipedia/commons/a/a4/Text_document_ with_red_question_mark.svg License: Public domain Contributors: Created by bdesham by bdesham with with Inkscape; based upon upon Text-x-generic.svg from the Tango project. Original artist: Benjamin Benjamin D. Esham (bdesham (bdesham)) File:Transbauformen.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/e1/Transbauformen.jpg License: CC-BY-SA3.0 Contributors: Own Own work Original artist: Ulfbastel Ulfbastel File:Transistor_Simple_Circuit_Diagram_with_NPN_Labels.svg Source: https://upload.wikimedia.org/wikipedia/commons/9/91/ Transistor_Simple_Circuit_Diagram_with_NPN_Labels.svg License: CC BY-SA 3.0 Contributors: I created a postscript file, and converted it to SVG using the pstoedit program. Original artist: Michael9422 Michael9422 File:Transistor_as_switc File:Transistor_as_switch.svg h.svg Source: https://upload.wikimedia.org/wikipedia/commons/5/5d/Transistor_as_switch.svg License: Public domain Contributors: Own work Original artist: FDominec FDominec Contributors: Own File:Transistor_on_portuguese_pavement.jpg Source: https://upload.wikimedia.org/wikipedia/commons/3/38/Transistor_on_ portuguese_pavement.jpg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: Joao.pimentel.ferreira File:Transistorer_(croped).jpg Source: https://upload.wikimedia.org/wikipedia/commons/2/21/Transistorer_%28cropped%29.jpg Li CC-BY-SA-3.0 Contributors: Own work Original artist: Transisto at Transisto at en.wikipedia en.wikipedia cense: CC-BY-SA-3.0 Contributors: Own File:Transmitter_tank_c File:Transmitter_tank_coil.jpg oil.jpg Source: https://upload.wikimedia.org/wikipedia/commons/b/b0/Transmitter_tank_coil.jpg License: Public domain Contributors: Downloaded July 22,2013 from rmer'>The , National Bureau of Standards radio pamphlet no. 40, US Signal Corps. December 10, 1918, Principles Underlying Radio Communication US Government Printing Office p. 372, fig. 197 on Google Books Original artist: Unknown Unknown File:Transmitter_tank_in File:Transmitter_tank_inductor.jpg ductor.jpg Source: https://upload.wikimedia.org/wikipedia/commons/a/ac/Transmitter_tank_inductor.jpg License: Public domain Contributors: Retrieved March 12, 2014 from Radio News magazine, magazine, Ziff-Davis Publishing Co., Chicago, Vol. 20, No. 11, May 1938, p. 31 American 31 American Radio History website History website Original artist: Unknown Unknown https://upload.wikimedia.org/wikipedia/commons/e/ed/Two_ferrite_beads.jpg License: CCBY CCBY 2.0 2.0 File:Two_ferrite_beads.jpg Source: https://upload.wikimedia.org/wikipedia/commons/e/ed/Two_ferrite_beads.jpg http://flickr.com/photos/oskay/ Contributors: http://flickr.com/photos/oskay/437342545/ Original artist: http://flickr.com/photos/oskay/ File:Types_of_capacitor.s File:Types_of_capacitor.svg vg Source: https://upload.wikimedia.org/wikipedia/commons/1/1c/Types_of_capacitor.svg License: CC0 Vectorized from raster image at English at English Wikipedia. Wikipedia. Original artist: Uploader Uploader is Jwratner1 is Jwratner1 at at English Wikipedia. Contributors: Vectorized File:Types_of_winding_by_ File:Types_of_winding_by_Zureks.png Zureks.png Source: https://upload.wikimedia.org/wikipedia/commons/3/30/Types_of_winding_by_ Zureks.png License: Public Public domain Contributors: Own work Original artist: Zureks Zureks Contributors: Own https://upload.wikimedia.org/wikipedia/commons/8/81/UniversalPCB.jpg License: CC CC BY-S BY-SA A 3.0 3.0 Con File:UniversalPCB.jpg Source: https://upload.wikimedia.org/wikipedia/commons/8/81/UniversalPCB.jpg Tlapicka tributors: own work, vlastní dílo Original artist: Tlapicka https://upload.wikimedia.org/wikipedia/commons/a/af/Vacuum_diode.svg License: Public Public domain Con File:Vacuum_diode.svg Source: https://upload.wikimedia.org/wikipedia/commons/a/af/Vacuum_diode.svg ? tributors: ? Original artist: ? File:Variometer.jpg Source: https://upload.wikimedia.org/wikipedia/commons/9/9f/Variometer.jpg License: Public domain Cond ata-x-rel='nofollow' ollow' class='external text' href='http://books.google.com/books?id= tributors: Downloaded April 30, 2013 from Elmer se'>Elmer Eustace Bucher (1920) The Wireless Experimenter’s Manual , Wireless Wireless Press, Press, Inc., New York, York, p. 189, fig. 150a 150a on Google Google Books Original artist: Unknown File:Verschiedene_Kondensat File:Verschiedene_Kondensatoren_2.JPG oren_2.JPG Source: https://upload.wikimedia.org/wikipedia/commons/9/92/Verschiedene_ Kondensatoren_2.JPG License: CC-BY-SA-3.0 CC-BY-SA-3.0 Contributors: Own work Original artist: Fabian Fabian ~ (Fabian (Fabian R at R at de.wikipedia de.wikipedia)) Contributors: Own File:Verschiedene_LEDs.jpg Source: https://upload.wikimedia.org/wikipedia/commons/9/9e/Verschiedene_LEDs.jpg License: CC BY-SA 2.0 Contributors: Own Own work Original artist: Afrank99 Afrank99 PubFile:Vorschaltdrossel_Kv File:Vorschaltdrossel_Kvg.jpg g.jpg Source: https://upload.wikimedia.org/wikipedia/commons/f/f2/Vorschaltdrossel_Kvg.jpg License: Public domain domain Contributors: Transferred Transferred from from de.wikipedia to Commo Commons ns by Wdwd using CommonsHelper CommonsHelper.. Original artist: Ulfbastel at German artist: Ulfbastel Wikipedia Contributors: File:Wiki_letter_w.svg Source: https://upload.wikimedia.org/wikipedia/en/6/6c/Wiki_letter_w.svg License: Cc-by-sa-3.0 Contributors: ? Original artist: ? ? File:Wikibooks-logo-en-noslogan.svg Source: https://upload.wikimedia.org/wikipedia/commons/d/df/Wikibooks-logo-en-noslogan. svg License: CC CC BY-SA 3.0 Contributors: Own Own work Original artist: User:Bastique, User:Bastique, User:Ramac User:Ramac et et al.
16.9. TEXT TEXT AND IMAGE IMAGE SOURCES, CONTRIB CONTRIBUTORS, UTORS, AND LICENSES
•
147
File:Wiktionary-logo-en.svg Source: https://upload.wikimedia.org/wikipedia/commons/f/f8/Wiktionary-logo-en.svg License: Public domain Contributors: Vector version of of Image:Wiktionary-logo-en.png. Image:Wiktionary-logo-en.png . Original artist: Vectorized by by Fvasconcellos ( Fvasconcellos (talk talk · contribs contribs),),
based on original logo tossed together by Brion by Brion Vibber
•
•
•
•
https://upload.wikimedia.org/wikipedia/commons/e/e3/Wire-bonded_ Germanium_Diode.jpg License: CC0 CC0 Contributors: Own Own work Original artist: Anonimski Anonimski File:Wire_wrapped_jewelry.JPG Source: https://upload.wikimedia.org/wikipedia/en/a/a7/Wire_wrapped_jewelry.JPG License: PD ? Contributors: ? Original artist: ? CC-BY-SA-3.0 Contributors: TransContributors: Trans File:Wires.jpg Source: https://upload.wikimedia.org/wikipedia/commons/c/c0/Wires.jpg License: CC-BY-SA-3.0 ferred from en.wikipedia from en.wikipedia;; transfer was stated to be made by User:iroony by User:iroony.. Original artist: Original Original uploader was Pilaf was Pilaf at at en.wikipedia en.wikipedia File:Zero_ohm_resistors_c File:Zero_ohm_resistors_cropped.jpg ropped.jpg Source: https://upload.wikimedia.org/wikipedia/commons/0/05/Zero_ohm_resistors_cropped. jpg License: Public Public domain Contributors: Photographed by User:Mike1024 by User:Mike1024 Original artist: User Mike1024 User Mike1024 on on en.wikipedia en.wikipedia Contributors: Photographed File:Wire-bonded_Germanium_Diode.jpg Source:
16.9.3 16.9.3 •
Conten Contentt licen license se
Creative Commons AttributionAttribution-Share Share Alike 3.0